OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [default/] [fpga-fx2/] [ezusb_lsi.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
/*%
2
   Common communication interface of default firmwares
3
   Copyright (C) 2009-2017 ZTEX GmbH.
4
   http://www.ztex.de
5
 
6
   Copyright and related rights are licensed under the Solderpad Hardware
7
   License, Version 0.51 (the "License"); you may not use this file except
8
   in compliance with the License. You may obtain a copy of the License at
9
 
10
       http://solderpad.org/licenses/SHL-0.51.
11
 
12
   Unless required by applicable law or agreed to in writing, software, hardware
13
   and materials distributed under this License is distributed on an "AS IS"
14
   BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
15
   implied. See the License for the specific language governing permissions
16
   and limitations under the License.
17
%*/
18
/*
19
   Implements the low speed interferace of default firmwares.
20
 
21
   It allows easy-to-use low speed communication with a SRAM-like
22
   interface (32 bit width, 8 bit address). For example it can be used
23
   to transfer things like settings and debug data.
24
*/
25
 
26
module ezusb_lsi (
27
        // control signals
28
        input clk,                      // system clock, minimum frequency is 24 MHz
29
        input reset_in,                 // high-active asynchronous reset
30
        output reg reset = 1'b1,        // synchronous reset output
31
        // hardware pins
32
        input data_clk,                 // data sent on both edges, LSB transmitted first
33
        input mosi,
34
        output miso,
35
        input stop,
36
        // interface
37
        output reg [7:0] in_addr,        // input address
38
        output reg [31:0] in_data,       // input data
39
        output reg in_strobe = 1'b0,    // 1 indicates new data received (1 for one cycle)
40
        output reg in_valid = 1'b0,     // 1 if date is valid
41
        output reg [7:0] out_addr,      // output address
42
        input [31:0] out_data,          // output data
43
        output reg out_strobe = 1'b0    // 1 indicates new data request (1 for one cycle)
44
    );
45
 
46
    reg [39:0] read_reg;
47
    reg [31:0] write_reg;
48
    reg [2:0] data_clk_buf;
49
    reg dir = 0; // 0 : read
50
    reg do_write = 0;
51
 
52
    assign miso = write_reg[0];
53
 
54
//    wire data_clk_edge = ( (data_clk==data_clk_buf[0]) && (data_clk==data_clk_buf[1]) && (data_clk!=data_clk_buf[2]) );
55
    wire data_clk_edge = ( (data_clk_buf[0]!=data_clk_buf[1]) && (data_clk_buf[1]==data_clk_buf[2]) );
56
 
57
 
58
    always @ (posedge clk)
59
    begin
60
        reset <= reset_in;
61
        data_clk_buf <= { data_clk_buf[1:0], data_clk };
62
        in_strobe <= (!reset) && data_clk_edge && (!dir) && stop && (!mosi);
63
        out_strobe <= (!reset) && data_clk_edge && (!dir) && stop && mosi;
64
        dir <= (!reset) && stop && ((data_clk_edge && mosi) || dir);
65
        if ( reset )
66
        begin
67
            in_valid <= 1'b0;
68
            do_write <= 1'b0;
69
        end else if ( data_clk_edge )
70
        begin
71
            if ( !dir )  // read from fx3
72
            begin
73
                if ( stop )
74
                begin
75
                    if ( mosi ) // last 8 bit contain write address
76
                    begin
77
//                      dir <= 1'b1;
78
                        out_addr <= read_reg[39:32];
79
                        do_write <= 1'b1;
80
                    end else
81
                    begin
82
                        in_valid <= 1'b1;
83
                        in_addr <= read_reg[39:32];
84
                        in_data <= read_reg[31:0];
85
                    end
86
                end else
87
                begin
88
                    read_reg <= { mosi, read_reg[39:1] };
89
                end
90
            end else  // write to fx3
91
            begin
92
                write_reg[30:0] <= write_reg[31:1];
93
                do_write <= 1'b0;
94
//              dir <= stop;
95
            end
96
        end else if ( dir && do_write )
97
        begin
98
            write_reg <= out_data;
99
        end
100
    end
101
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.