OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.04b/] [ipcore_dir/] [mem0/] [example_design/] [sim/] [functional/] [mem0.prj] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
verilog  work  ../../rtl/example_top.v
2
verilog  work  ../../rtl/infrastructure.v
3
verilog  work  ../../rtl/memc_tb_top.v
4
verilog  work  ../../rtl/memc_wrapper.v
5
verilog  work  ../../rtl/mcb_controller/iodrp_controller.v
6
verilog  work  ../../rtl/mcb_controller/iodrp_mcb_controller.v
7
verilog  work  ../../rtl/mcb_controller/mcb_raw_wrapper.v
8
verilog  work  ../../rtl/mcb_controller/mcb_soft_calibration.v
9
verilog  work  ../../rtl/mcb_controller/mcb_soft_calibration_top.v
10
verilog  work  ../../rtl/mcb_controller/mcb_ui_top.v
11
verilog  work  ../../rtl/traffic_gen/afifo.v
12
verilog  work  ../../rtl/traffic_gen/cmd_gen.v
13
verilog  work  ../../rtl/traffic_gen/cmd_prbs_gen.v
14
verilog  work  ../../rtl/traffic_gen/data_prbs_gen.v
15
verilog  work  ../../rtl/traffic_gen/init_mem_pattern_ctr.v
16
verilog  work  ../../rtl/traffic_gen/mcb_flow_control.v
17
verilog  work  ../../rtl/traffic_gen/mcb_traffic_gen.v
18
verilog  work  ../../rtl/traffic_gen/rd_data_gen.v
19
verilog  work  ../../rtl/traffic_gen/read_data_path.v
20
verilog  work  ../../rtl/traffic_gen/read_posted_fifo.v
21
verilog  work  ../../rtl/traffic_gen/sp6_data_gen.v
22
verilog  work  ../../rtl/traffic_gen/tg_status.v
23
verilog  work  ../../rtl/traffic_gen/v6_data_gen.v
24
verilog  work  ../../rtl/traffic_gen/wr_data_gen.v
25
verilog  work  ../../rtl/traffic_gen/write_data_path.v
26
 
27
 
28
verilog  work  $XILINX/verilog/src/glbl.v
29
verilog  work  ./sim_tb_top.v
30
verilog  work  ./ddr_model_c3.v -d x512Mb -d FULL_MEM -d sg5B -d x16 -i ./
31
 
32
 
33
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.