OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.04b/] [ipcore_dir/] [mem0/] [user_design/] [par/] [set_ise_prop.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
project new test.xise
2
 
3
project set "Device Family" "spartan6"
4
 
5
project set "Device" "xc6slx16"
6
 
7
project set "Package" "ftg256"
8
 
9
project set "Speed Grade" "-2"
10
 
11
project set "Synthesis Tool" "XST (VHDL/Verilog)"
12
 
13
project set "Simulator" "ISim (VHDL/Verilog)"
14
 
15
xfile add "../rtl/infrastructure.v"
16
xfile add "../rtl/mem0.v"
17
xfile add "../rtl/memc_wrapper.v"
18
xfile add "../rtl/mcb_controller/iodrp_controller.v"
19
xfile add "../rtl/mcb_controller/iodrp_mcb_controller.v"
20
xfile add "../rtl/mcb_controller/mcb_raw_wrapper.v"
21
xfile add "../rtl/mcb_controller/mcb_soft_calibration.v"
22
xfile add "../rtl/mcb_controller/mcb_soft_calibration_top.v"
23
xfile add "../rtl/mcb_controller/mcb_ui_top.v"
24
 
25
xfile add "mem0.ucf"
26
 
27
 
28
project set "FSM Encoding Algorithm" "Auto" -process "Synthesize - XST"
29
project set "Safe Implementation" "No" -process "Synthesize - XST"
30
project set "FSM Style" "LUT" -process "Synthesize - XST"
31
project set "RAM Extraction" "True" -process "Synthesize - XST"
32
project set "RAM Style" "Auto" -process "Synthesize - XST"
33
project set "ROM Extraction" "True" -process "Synthesize - XST"
34
project set "ROM Style" "Auto" -process "Synthesize - XST"
35
project set "Resource Sharing" "True" -process "Synthesize - XST"
36
project set "Asynchronous To Synchronous" "False" -process "Synthesize - XST"
37
project set "Register Balancing" "No" -process "Synthesize - XST"
38
project set "Add I/O Buffers" "True" -process "Synthesize - XST"
39
project set "Max Fanout" "500" -process "Synthesize - XST"
40
project set "Number of Clock Buffers" "8" -process "Synthesize - XST"
41
project set "Register Duplication" "True" -process "Synthesize - XST"
42
project set "Optimize Instantiated Primitives" "False" -process "Synthesize - XST"
43
project set "Use Clock Enable" "Yes" -process "Synthesize - XST"
44
project set "Use Synchronous Set" "Yes" -process "Synthesize - XST"
45
project set "Use Synchronous Reset" "Yes" -process "Synthesize - XST"
46
project set "Pack I/O Registers into IOBs" "Auto" -process "Synthesize - XST"
47
project set "Equivalent Register Removal" "True" -process "Synthesize - XST"
48
project set "Optimization Goal" "Speed" -process "Synthesize - XST"
49
project set "Optimization Effort" "Normal" -process "Synthesize - XST"
50
project set "Library Search Order" "../synth/mem0.lso" -process "Synthesize - XST"
51
project set "Keep Hierarchy" "Soft" -process "Synthesize - XST"
52
project set "Netlist Hierarchy" "As Optimized" -process "Synthesize - XST"
53
project set "Generate RTL Schematic" "Yes" -process "Synthesize - XST"
54
project set "Global Optimization Goal" "AllClockNets" -process "Synthesize - XST"
55
project set "Read Cores" "True" -process "Synthesize - XST"
56
project set "Write Timing Constraints" "False" -process "Synthesize - XST"
57
project set "Cross Clock Analysis" "False" -process "Synthesize - XST"
58
project set "Hierarchy Separator" "/" -process "Synthesize - XST"
59
project set "Bus Delimiter" "<>" -process "Synthesize - XST"
60
project set "Case" "Maintain" -process "Synthesize - XST"
61
project set "BRAM Utilization Ratio" "100" -process "Synthesize - XST"
62
project set "Automatic BRAM Packing" "False" -process "Synthesize - XST"
63
project set "Pack I/O Registers/Latches into IOBs" "Off" -process Map
64
 
65
project set "Place & Route Effort Level (Overall)" "Standard" -process "Place & Route"
66
 
67
project set "Number of Paths in Error/Verbose Report" "100" -process "Generate Post-Map Static Timing"
68
 
69
project set "Enable Debugging of Serial Mode BitStream" "False" -process "Generate Programming File"
70
project set "Create Binary Configuration File" "False" -process "Generate Programming File"
71
project set "Enable Cyclic Redundancy Checking (CRC)" "True" -process "Generate Programming File"
72
project set "Configuration Rate" "6" -process "Generate Programming File"
73
project set "Configuration Pin Program" "Pull Up" -process "Generate Programming File"
74
project set "Configuration Pin Done" "Pull Up" -process "Generate Programming File"
75
project set "JTAG Pin TCK" "Pull Up" -process "Generate Programming File"
76
project set "JTAG Pin TDI" "Pull Up" -process "Generate Programming File"
77
project set "JTAG Pin TDO" "Pull Up" -process "Generate Programming File"
78
project set "JTAG Pin TMS" "Pull Up" -process "Generate Programming File"
79
project set "Unused IOB Pins" "Float" -process "Generate Programming File"
80
project set "UserID Code (8 Digit Hexadecimal)" "0xFFFFFFFF" -process "Generate Programming File"
81
project set "FPGA Start-Up Clock" "CCLK" -process "Generate Programming File"
82
project set "Done (Output Events)" "Default (4)" -process "Generate Programming File"
83
project set "Enable Outputs (Output Events)" "Default (5)" -process "Generate Programming File"
84
project set "Release Write Enable (Output Events)" "Default (6)" -process "Generate Programming File"
85
project set "Enable Internal Done Pipe" "False" -process "Generate Programming File"
86
project set "Drive Done Pin High" "False" -process "Generate Programming File"
87
project set "Security" "Enable Readback and Reconfiguration" -process "Generate Programming File"
88
 
89
project close
90
 
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.