OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.04b/] [ipcore_dir/] [mem0/] [user_design/] [sim/] [readme.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
###############################################################################
2
## (c) Copyright 2009 Xilinx, Inc. All rights reserved.
3
##
4
## This file contains confidential and proprietary information
5
## of Xilinx, Inc. and is protected under U.S. and
6
## international copyright and other intellectual property
7
## laws.
8
##
9
## DISCLAIMER
10
## This disclaimer is not a license and does not grant any
11
## rights to the materials distributed herewith. Except as
12
## otherwise provided in a valid license issued to you by
13
## Xilinx, and to the maximum extent permitted by applicable
14
## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
## (2) Xilinx shall not be liable (whether in contract or tort,
20
## including negligence, or under any other theory of
21
## liability) for any loss or damage of any kind or nature
22
## related to, arising under or in connection with these
23
## materials, including for any direct, or any indirect,
24
## special, incidental, or consequential loss or damage
25
## (including loss of data, profits, goodwill, or any type of
26
## loss or damage suffered as a result of any action brought
27
## by a third party) even if such damage or loss was
28
## reasonably foreseeable or Xilinx had been advised of the
29
## possibility of the same.
30
##
31
## CRITICAL APPLICATIONS
32
## Xilinx products are not designed or intended to be fail-
33
## safe, or for use in any application requiring fail-safe
34
## performance, such as life-support or safety devices or
35
## systems, Class III medical devices, nuclear facilities,
36
## applications related to the deployment of airbags, or any
37
## other applications that could lead to death, personal
38
## injury, or severe property or environmental damage
39
## (individually and collectively, "Critical
40
## Applications"). Customer assumes the sole risk and
41
## liability of any use of Xilinx products in Critical
42
## Applications, subject only to applicable laws and
43
## regulations governing limitations on product liability.
44
##
45
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
## PART OF THIS FILE AT ALL TIMES.
47
##
48
###############################################################################
49
##   ____  ____
50
##  /   /\/   /
51
## /___/  \  /    Vendor             : Xilinx
52
## \   \   \/     Version            : 3.92
53
##  \   \         Application        : MIG
54
##  /   /         Filename           : readme.txt
55
## /___/   /\     Date Last Modified : $Date: 2011/06/02 07:17:00 $
56
## \   \  /  \    Date Created       : Mon Oct 19 2009
57
##  \___\/\___\
58
##
59
## Device          : Spartan-6
60
## Design Name     : DDR/DDR2/DDR3/LPDDR
61
## Purpose         : Steps to run simulation using ISIM/Modelsim simualtor in this folder
62
## Assumptions:
63
##      - Simulation takes place in \sim\ folder of MIG output directory
64
## Reference       :
65
## Revision History:
66
###############################################################################
67
 
68
The sim/functional folder has files to perform functional simulation of the design.
69
 
70
1. Simulation using Modelsim simulator
71
 
72
A) sim.do File :
73
 
74
   1) The 'sim.do' file has commands to compile and simulate memory interface
75
      design and run the simulation for specified period of time.
76
 
77
   2) It has the syntax to Map the required libraries.
78
      Also, $XILINX environment variable must be set in order to compile glbl.v file
79
   3) Displays the waveforms that are listed with "add wave" command.
80
 
81
B) Steps to run the Modelsim simulation:
82
 
83
   1) The user should invoke the Modelsim simulator GUI.
84
   2) Change the present working directory path to the sim/functional folder.
85
      In Transcript window, at Modelsim prompt, type the following command to
86
      change directory path.
87
           cd 
88
 
89
   2) Run the simulation using sim.do file.
90
      At Modelsim prompt, type the following command:
91
           do sim.do
92
 
93
   3) To exit simulation, type the following command at Modelsim prompt:
94
           quit -f
95
 
96
   4) Verify the transcript file for the memory transactions.
97
 
98
 
99
2. Simulation using ISIM simulator
100
 
101
A) Following files are provided :
102
 
103
   1) The '.prj' file contains the list of all the files associated with the design.
104
      It also contains the hdl, library and the source file name.
105
 
106
   2) The '.tcl' file contains the Tcl commands for simulation and
107
      resume on error.
108
 
109
   3) The 'isim.bat' has commands which use '.prj' and '.tcl' files.
110
 
111
 
112
B) Steps to run the ISIM simulation:
113
 
114
   The user should execute the file isim.bat, which does the following steps:
115
   1) Compiles, elaborates the design and generates the simulation executable using
116
      the fuse command in 'isim.bat' file.
117
 
118
   2) Invokes the ISIM GUI.
119
 
120
   3) User can add required signals from objects window to the waveform viewer and run
121
      simulation for specified time using the command "run 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.