OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.04b/] [ipcore_dir/] [mem0.veo] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
//*****************************************************************************
2
// (c) Copyright 2009 Xilinx, Inc. All rights reserved.
3
//
4
// This file contains confidential and proprietary information
5
// of Xilinx, Inc. and is protected under U.S. and
6
// international copyright and other intellectual property
7
// laws.
8
//
9
// DISCLAIMER
10
// This disclaimer is not a license and does not grant any
11
// rights to the materials distributed herewith. Except as
12
// otherwise provided in a valid license issued to you by
13
// Xilinx, and to the maximum extent permitted by applicable
14
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
// (2) Xilinx shall not be liable (whether in contract or tort,
20
// including negligence, or under any other theory of
21
// liability) for any loss or damage of any kind or nature
22
// related to, arising under or in connection with these
23
// materials, including for any direct, or any indirect,
24
// special, incidental, or consequential loss or damage
25
// (including loss of data, profits, goodwill, or any type of
26
// loss or damage suffered as a result of any action brought
27
// by a third party) even if such damage or loss was
28
// reasonably foreseeable or Xilinx had been advised of the
29
// possibility of the same.
30
//
31
// CRITICAL APPLICATIONS
32
// Xilinx products are not designed or intended to be fail-
33
// safe, or for use in any application requiring fail-safe
34
// performance, such as life-support or safety devices or
35
// systems, Class III medical devices, nuclear facilities,
36
// applications related to the deployment of airbags, or any
37
// other applications that could lead to death, personal
38
// injury, or severe property or environmental damage
39
// (individually and collectively, "Critical
40
// Applications"). Customer assumes the sole risk and
41
// liability of any use of Xilinx products in Critical
42
// Applications, subject only to applicable laws and
43
// regulations governing limitations on product liability.
44
//
45
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
// PART OF THIS FILE AT ALL TIMES.
47
//
48
//*****************************************************************************
49
//   ____  ____
50
//  /   /\/   /
51
// /___/  \  /   Vendor             : Xilinx
52
// \   \   \/    Version            : 3.92
53
//  \   \        Application        : MIG
54
//  /   /        Filename           : mem0.veo
55
// /___/   /\    Date Last Modified : $Date: 2011/06/02 07:19:03 $
56
// \   \  /  \   Date Created       : Fri Aug 7 2009
57
//  \___\/\___\
58
//
59
// Purpose     : Template file containing code that can be used as a model
60
//               for instantiating a CORE Generator module in a HDL design.
61
// Revision History:
62
//*****************************************************************************
63
 
64
// The following must be inserted into your Verilog file for this
65
// core to be instantiated. Change the instance name and port connections
66
// (in parentheses) to your own signal names.
67
 
68
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
69
 
70
 mem0 # (
71
    .C3_P0_MASK_SIZE(4),
72
    .C3_P0_DATA_PORT_SIZE(32),
73
    .C3_P1_MASK_SIZE(4),
74
    .C3_P1_DATA_PORT_SIZE(32),
75
    .DEBUG_EN(0),
76
    .C3_MEMCLK_PERIOD(5000),
77
    .C3_CALIB_SOFT_IP("TRUE"),
78
    .C3_SIMULATION("FALSE"),
79
    .C3_RST_ACT_LOW(0),
80
    .C3_INPUT_CLK_TYPE("SINGLE_ENDED"),
81
    .C3_MEM_ADDR_ORDER("ROW_BANK_COLUMN"),
82
    .C3_NUM_DQ_PINS(16),
83
    .C3_MEM_ADDR_WIDTH(13),
84
    .C3_MEM_BANKADDR_WIDTH(2)
85
)
86
u_mem0 (
87
 
88
    .c3_sys_clk           (c3_sys_clk),
89
  .c3_sys_rst_i           (c3_sys_rst_i),
90
 
91
  .mcb3_dram_dq           (mcb3_dram_dq),
92
  .mcb3_dram_a            (mcb3_dram_a),
93
  .mcb3_dram_ba           (mcb3_dram_ba),
94
  .mcb3_dram_ras_n        (mcb3_dram_ras_n),
95
  .mcb3_dram_cas_n        (mcb3_dram_cas_n),
96
  .mcb3_dram_we_n         (mcb3_dram_we_n),
97
  .mcb3_dram_cke          (mcb3_dram_cke),
98
  .mcb3_dram_ck           (mcb3_dram_ck),
99
  .mcb3_dram_ck_n         (mcb3_dram_ck_n),
100
  .mcb3_dram_dqs          (mcb3_dram_dqs),
101
  .mcb3_dram_udqs         (mcb3_dram_udqs),    // for X16 parts
102
  .mcb3_dram_udm          (mcb3_dram_udm),     // for X16 parts
103
  .mcb3_dram_dm           (mcb3_dram_dm),
104
 
105
  .c3_clk0                      (c3_clk0),
106
  .c3_rst0                      (c3_rst0),
107
 
108
 
109
  .c3_calib_done    (c3_calib_done),
110
     .mcb3_rzq               (rzq3),
111
 
112
 
113
     .c3_p0_cmd_clk                          (c3_p0_cmd_clk),
114
   .c3_p0_cmd_en                           (c3_p0_cmd_en),
115
   .c3_p0_cmd_instr                        (c3_p0_cmd_instr),
116
   .c3_p0_cmd_bl                           (c3_p0_cmd_bl),
117
   .c3_p0_cmd_byte_addr                    (c3_p0_cmd_byte_addr),
118
   .c3_p0_cmd_empty                        (c3_p0_cmd_empty),
119
   .c3_p0_cmd_full                         (c3_p0_cmd_full),
120
   .c3_p0_wr_clk                           (c3_p0_wr_clk),
121
   .c3_p0_wr_en                            (c3_p0_wr_en),
122
   .c3_p0_wr_mask                          (c3_p0_wr_mask),
123
   .c3_p0_wr_data                          (c3_p0_wr_data),
124
   .c3_p0_wr_full                          (c3_p0_wr_full),
125
   .c3_p0_wr_empty                         (c3_p0_wr_empty),
126
   .c3_p0_wr_count                         (c3_p0_wr_count),
127
   .c3_p0_wr_underrun                      (c3_p0_wr_underrun),
128
   .c3_p0_wr_error                         (c3_p0_wr_error),
129
   .c3_p0_rd_clk                           (c3_p0_rd_clk),
130
   .c3_p0_rd_en                            (c3_p0_rd_en),
131
   .c3_p0_rd_data                          (c3_p0_rd_data),
132
   .c3_p0_rd_full                          (c3_p0_rd_full),
133
   .c3_p0_rd_empty                         (c3_p0_rd_empty),
134
   .c3_p0_rd_count                         (c3_p0_rd_count),
135
   .c3_p0_rd_overflow                      (c3_p0_rd_overflow),
136
   .c3_p0_rd_error                         (c3_p0_rd_error),
137
   .c3_p1_cmd_clk                          (c3_p1_cmd_clk),
138
   .c3_p1_cmd_en                           (c3_p1_cmd_en),
139
   .c3_p1_cmd_instr                        (c3_p1_cmd_instr),
140
   .c3_p1_cmd_bl                           (c3_p1_cmd_bl),
141
   .c3_p1_cmd_byte_addr                    (c3_p1_cmd_byte_addr),
142
   .c3_p1_cmd_empty                        (c3_p1_cmd_empty),
143
   .c3_p1_cmd_full                         (c3_p1_cmd_full),
144
   .c3_p1_wr_clk                           (c3_p1_wr_clk),
145
   .c3_p1_wr_en                            (c3_p1_wr_en),
146
   .c3_p1_wr_mask                          (c3_p1_wr_mask),
147
   .c3_p1_wr_data                          (c3_p1_wr_data),
148
   .c3_p1_wr_full                          (c3_p1_wr_full),
149
   .c3_p1_wr_empty                         (c3_p1_wr_empty),
150
   .c3_p1_wr_count                         (c3_p1_wr_count),
151
   .c3_p1_wr_underrun                      (c3_p1_wr_underrun),
152
   .c3_p1_wr_error                         (c3_p1_wr_error),
153
   .c3_p1_rd_clk                           (c3_p1_rd_clk),
154
   .c3_p1_rd_en                            (c3_p1_rd_en),
155
   .c3_p1_rd_data                          (c3_p1_rd_data),
156
   .c3_p1_rd_full                          (c3_p1_rd_full),
157
   .c3_p1_rd_empty                         (c3_p1_rd_empty),
158
   .c3_p1_rd_count                         (c3_p1_rd_count),
159
   .c3_p1_rd_overflow                      (c3_p1_rd_overflow),
160
   .c3_p1_rd_error                         (c3_p1_rd_error),
161
   .c3_p2_cmd_clk                          (c3_p2_cmd_clk),
162
   .c3_p2_cmd_en                           (c3_p2_cmd_en),
163
   .c3_p2_cmd_instr                        (c3_p2_cmd_instr),
164
   .c3_p2_cmd_bl                           (c3_p2_cmd_bl),
165
   .c3_p2_cmd_byte_addr                    (c3_p2_cmd_byte_addr),
166
   .c3_p2_cmd_empty                        (c3_p2_cmd_empty),
167
   .c3_p2_cmd_full                         (c3_p2_cmd_full),
168
   .c3_p2_wr_clk                           (c3_p2_wr_clk),
169
   .c3_p2_wr_en                            (c3_p2_wr_en),
170
   .c3_p2_wr_mask                          (c3_p2_wr_mask),
171
   .c3_p2_wr_data                          (c3_p2_wr_data),
172
   .c3_p2_wr_full                          (c3_p2_wr_full),
173
   .c3_p2_wr_empty                         (c3_p2_wr_empty),
174
   .c3_p2_wr_count                         (c3_p2_wr_count),
175
   .c3_p2_wr_underrun                      (c3_p2_wr_underrun),
176
   .c3_p2_wr_error                         (c3_p2_wr_error),
177
   .c3_p3_cmd_clk                          (c3_p3_cmd_clk),
178
   .c3_p3_cmd_en                           (c3_p3_cmd_en),
179
   .c3_p3_cmd_instr                        (c3_p3_cmd_instr),
180
   .c3_p3_cmd_bl                           (c3_p3_cmd_bl),
181
   .c3_p3_cmd_byte_addr                    (c3_p3_cmd_byte_addr),
182
   .c3_p3_cmd_empty                        (c3_p3_cmd_empty),
183
   .c3_p3_cmd_full                         (c3_p3_cmd_full),
184
   .c3_p3_rd_clk                           (c3_p3_rd_clk),
185
   .c3_p3_rd_en                            (c3_p3_rd_en),
186
   .c3_p3_rd_data                          (c3_p3_rd_data),
187
   .c3_p3_rd_full                          (c3_p3_rd_full),
188
   .c3_p3_rd_empty                         (c3_p3_rd_empty),
189
   .c3_p3_rd_count                         (c3_p3_rd_count),
190
   .c3_p3_rd_overflow                      (c3_p3_rd_overflow),
191
   .c3_p3_rd_error                         (c3_p3_rd_error),
192
   .c3_p4_cmd_clk                          (c3_p4_cmd_clk),
193
   .c3_p4_cmd_en                           (c3_p4_cmd_en),
194
   .c3_p4_cmd_instr                        (c3_p4_cmd_instr),
195
   .c3_p4_cmd_bl                           (c3_p4_cmd_bl),
196
   .c3_p4_cmd_byte_addr                    (c3_p4_cmd_byte_addr),
197
   .c3_p4_cmd_empty                        (c3_p4_cmd_empty),
198
   .c3_p4_cmd_full                         (c3_p4_cmd_full),
199
   .c3_p4_wr_clk                           (c3_p4_wr_clk),
200
   .c3_p4_wr_en                            (c3_p4_wr_en),
201
   .c3_p4_wr_mask                          (c3_p4_wr_mask),
202
   .c3_p4_wr_data                          (c3_p4_wr_data),
203
   .c3_p4_wr_full                          (c3_p4_wr_full),
204
   .c3_p4_wr_empty                         (c3_p4_wr_empty),
205
   .c3_p4_wr_count                         (c3_p4_wr_count),
206
   .c3_p4_wr_underrun                      (c3_p4_wr_underrun),
207
   .c3_p4_wr_error                         (c3_p4_wr_error),
208
   .c3_p5_cmd_clk                          (c3_p5_cmd_clk),
209
   .c3_p5_cmd_en                           (c3_p5_cmd_en),
210
   .c3_p5_cmd_instr                        (c3_p5_cmd_instr),
211
   .c3_p5_cmd_bl                           (c3_p5_cmd_bl),
212
   .c3_p5_cmd_byte_addr                    (c3_p5_cmd_byte_addr),
213
   .c3_p5_cmd_empty                        (c3_p5_cmd_empty),
214
   .c3_p5_cmd_full                         (c3_p5_cmd_full),
215
   .c3_p5_rd_clk                           (c3_p5_rd_clk),
216
   .c3_p5_rd_en                            (c3_p5_rd_en),
217
   .c3_p5_rd_data                          (c3_p5_rd_data),
218
   .c3_p5_rd_full                          (c3_p5_rd_full),
219
   .c3_p5_rd_empty                         (c3_p5_rd_empty),
220
   .c3_p5_rd_count                         (c3_p5_rd_count),
221
   .c3_p5_rd_overflow                      (c3_p5_rd_overflow),
222
   .c3_p5_rd_error                         (c3_p5_rd_error)
223
);
224
 
225
// INST_TAG_END ------ End INSTANTIATION Template ---------
226
 
227
// You must compile the wrapper file mem0.v when simulating
228
// the core, mem0. When compiling the wrapper file, be sure to
229
// reference the XilinxCoreLib Verilog simulation library. For detailed
230
// instructions, please refer to the "CORE Generator Help".
231
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.