OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.14/] [memfifo.srcs/] [sources_1/] [ip/] [mig_7series_0/] [mig_7series_0/] [user_design/] [rtl/] [ecc/] [mig_7series_v2_3_fi_xor.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
//*****************************************************************************
2
// (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved.
3
//
4
// This file contains confidential and proprietary information
5
// of Xilinx, Inc. and is protected under U.S. and
6
// international copyright and other intellectual property
7
// laws.
8
//
9
// DISCLAIMER
10
// This disclaimer is not a license and does not grant any
11
// rights to the materials distributed herewith. Except as
12
// otherwise provided in a valid license issued to you by
13
// Xilinx, and to the maximum extent permitted by applicable
14
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
// (2) Xilinx shall not be liable (whether in contract or tort,
20
// including negligence, or under any other theory of
21
// liability) for any loss or damage of any kind or nature
22
// related to, arising under or in connection with these
23
// materials, including for any direct, or any indirect,
24
// special, incidental, or consequential loss or damage
25
// (including loss of data, profits, goodwill, or any type of
26
// loss or damage suffered as a result of any action brought
27
// by a third party) even if such damage or loss was
28
// reasonably foreseeable or Xilinx had been advised of the
29
// possibility of the same.
30
//
31
// CRITICAL APPLICATIONS
32
// Xilinx products are not designed or intended to be fail-
33
// safe, or for use in any application requiring fail-safe
34
// performance, such as life-support or safety devices or
35
// systems, Class III medical devices, nuclear facilities,
36
// applications related to the deployment of airbags, or any
37
// other applications that could lead to death, personal
38
// injury, or severe property or environmental damage
39
// (individually and collectively, "Critical
40
// Applications"). Customer assumes the sole risk and
41
// liability of any use of Xilinx products in Critical
42
// Applications, subject only to applicable laws and
43
// regulations governing limitations on product liability.
44
//
45
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
// PART OF THIS FILE AT ALL TIMES.
47
//
48
//*****************************************************************************
49
//   ____  ____
50
//  /   /\/   /
51
// /___/  \  /    Vendor             : Xilinx
52
// \   \   \/     Version            : 2.0
53
//  \   \         Application        : MIG
54
//  /   /         Filename           : mig_7series_v2_3_axi_fi_xor.v
55
// /___/   /\     Date Last Modified : $Date: 2011/06/02 08:35:03 $
56
// \   \  /  \    Date Created       : Tue Sept 21 2010
57
//  \___\/\___\
58
//
59
//*****************************************************************************
60
///////////////////////////////////////////////////////////////////////////////
61
`timescale 1ps/1ps
62
`default_nettype none
63
 
64
module mig_7series_v2_3_fi_xor #
65
(
66
///////////////////////////////////////////////////////////////////////////////
67
// Parameter Definitions
68
///////////////////////////////////////////////////////////////////////////////
69
  // External Memory Data Width
70
  parameter integer DQ_WIDTH               = 72,
71
  parameter integer DQS_WIDTH              = 9,
72
  parameter integer nCK_PER_CLK            = 4
73
)
74
(
75
///////////////////////////////////////////////////////////////////////////////
76
// Port Declarations     
77
///////////////////////////////////////////////////////////////////////////////
78
  input  wire                              clk           ,
79
  input  wire [2*nCK_PER_CLK*DQ_WIDTH-1:0] wrdata_in     ,
80
  output wire [2*nCK_PER_CLK*DQ_WIDTH-1:0] wrdata_out    ,
81
  input  wire                              wrdata_en     ,
82
  input  wire [DQS_WIDTH-1:0]              fi_xor_we     ,
83
  input  wire [DQ_WIDTH-1:0]               fi_xor_wrdata
84
);
85
 
86
/////////////////////////////////////////////////////////////////////////////
87
// Functions
88
/////////////////////////////////////////////////////////////////////////////
89
 
90
////////////////////////////////////////////////////////////////////////////////
91
// Local parameters
92
////////////////////////////////////////////////////////////////////////////////
93
localparam DQ_PER_DQS = DQ_WIDTH / DQS_WIDTH;
94
 
95
////////////////////////////////////////////////////////////////////////////////
96
// Wires/Reg declarations
97
////////////////////////////////////////////////////////////////////////////////
98
reg [DQ_WIDTH-1:0]              fi_xor_data = {DQ_WIDTH{1'b0}};
99
 
100
////////////////////////////////////////////////////////////////////////////////
101
// BEGIN RTL
102
///////////////////////////////////////////////////////////////////////////////
103
 
104
// Register in the fi_xor_wrdata on a byte width basis
105
generate
106
begin
107
  genvar i;
108
  for (i = 0; i < DQS_WIDTH; i = i + 1) begin : assign_fi_xor_data
109
    always @(posedge clk) begin
110
      if (wrdata_en) begin
111
        fi_xor_data[i*DQ_PER_DQS+:DQ_PER_DQS] <= {DQ_PER_DQS{1'b0}};
112
      end
113
      else if (fi_xor_we[i]) begin
114
        fi_xor_data[i*DQ_PER_DQS+:DQ_PER_DQS] <= fi_xor_wrdata[i*DQ_PER_DQS+:DQ_PER_DQS];
115
      end
116
      else begin
117
        fi_xor_data[i*DQ_PER_DQS+:DQ_PER_DQS] <= fi_xor_data[i*DQ_PER_DQS+:DQ_PER_DQS];
118
      end
119
    end
120
  end
121
 
122
end
123
endgenerate
124
 
125
assign wrdata_out[0+:DQ_WIDTH] = wrdata_in[0+:DQ_WIDTH] ^ fi_xor_data[0+:DQ_WIDTH];
126
 
127
 // Pass through upper bits
128
assign wrdata_out[DQ_WIDTH+:(2*nCK_PER_CLK-1)*DQ_WIDTH] = wrdata_in[DQ_WIDTH+:(2*nCK_PER_CLK-1)*DQ_WIDTH];
129
 
130
endmodule
131
 
132
`default_nettype wire

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.