OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.14/] [memfifo.srcs/] [sources_1/] [ip/] [mig_7series_0/] [mig_7series_0/] [user_design/] [rtl/] [phy/] [mig_7series_v2_3_ddr_phy_ck_addr_cmd_delay.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
//*****************************************************************************
2
// (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved.
3
//
4
// This file contains confidential and proprietary information
5
// of Xilinx, Inc. and is protected under U.S. and
6
// international copyright and other intellectual property
7
// laws.
8
//
9
// DISCLAIMER
10
// This disclaimer is not a license and does not grant any
11
// rights to the materials distributed herewith. Except as
12
// otherwise provided in a valid license issued to you by
13
// Xilinx, and to the maximum extent permitted by applicable
14
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
// (2) Xilinx shall not be liable (whether in contract or tort,
20
// including negligence, or under any other theory of
21
// liability) for any loss or damage of any kind or nature
22
// related to, arising under or in connection with these
23
// materials, including for any direct, or any indirect,
24
// special, incidental, or consequential loss or damage
25
// (including loss of data, profits, goodwill, or any type of
26
// loss or damage suffered as a result of any action brought
27
// by a third party) even if such damage or loss was
28
// reasonably foreseeable or Xilinx had been advised of the
29
// possibility of the same.
30
//
31
// CRITICAL APPLICATIONS
32
// Xilinx products are not designed or intended to be fail-
33
// safe, or for use in any application requiring fail-safe
34
// performance, such as life-support or safety devices or
35
// systems, Class III medical devices, nuclear facilities,
36
// applications related to the deployment of airbags, or any
37
// other applications that could lead to death, personal
38
// injury, or severe property or environmental damage
39
// (individually and collectively, "Critical
40
// Applications"). Customer assumes the sole risk and
41
// liability of any use of Xilinx products in Critical
42
// Applications, subject only to applicable laws and
43
// regulations governing limitations on product liability.
44
//
45
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
// PART OF THIS FILE AT ALL TIMES.
47
//
48
//*****************************************************************************
49
//   ____  ____
50
//  /   /\/   /
51
// /___/  \  /    Vendor: Xilinx
52
// \   \   \/     Version: %version
53
//  \   \         Application: MIG
54
//  /   /         Filename: ddr_phy_ck_addr_cmd_delay.v
55
// /___/   /\     Date Last Modified: $Date: 2011/02/25 02:07:40 $
56
// \   \  /  \    Date Created: Aug 03 2009 
57
//  \___\/\___\
58
//
59
//Device: 7 Series
60
//Design Name: DDR3 SDRAM
61
//Purpose: Shift CK/Address/Commands/Controls
62
//Reference:
63
//Revision History:
64
//*****************************************************************************
65
 
66
`timescale 1ps/1ps
67
 
68
module mig_7series_v2_3_ddr_phy_ck_addr_cmd_delay #
69
  (
70
   parameter TCQ            = 100,
71
   parameter tCK            = 3636,
72
   parameter DQS_CNT_WIDTH  = 3,
73
   parameter N_CTL_LANES    = 3,
74
   parameter SIM_CAL_OPTION = "NONE"
75
   )
76
  (
77
   input                        clk,
78
   input                        rst,
79
   // Start only after PO_CIRC_BUF_DELAY decremented
80
   input                        cmd_delay_start,
81
   // Control lane being shifted using Phaser_Out fine delay taps
82
   output reg [N_CTL_LANES-1:0] ctl_lane_cnt,
83
   // Inc/dec Phaser_Out fine delay line
84
   output reg       po_stg2_f_incdec,
85
   output reg       po_en_stg2_f,
86
   output reg       po_stg2_c_incdec,
87
   output reg       po_en_stg2_c,
88
   // Completed delaying CK/Address/Commands/Controls
89
   output           po_ck_addr_cmd_delay_done
90
   );
91
 
92
   localparam TAP_CNT_LIMIT = 63;
93
 
94
   //Calculate the tap resolution of the PHASER based on the clock period
95
   localparam FREQ_REF_DIV           = (tCK > 5000 ? 4 :
96
                                        tCK > 2500 ? 2 : 1);
97
 
98
   localparam integer PHASER_TAP_RES = ((tCK/2)/64);
99
 
100
   // Determine whether 300 ps or 350 ps delay required
101
   localparam CALC_TAP_CNT = (tCK >= 1250) ? 350 : 300;
102
 
103
   // Determine the number of Phaser_Out taps required to delay by 300 ps
104
   // 300 ps is the PCB trace uncertainty between CK and DQS byte groups
105
 
106
 
107
   // Increment control byte lanes
108
   localparam TAP_CNT = 0;
109
   //localparam TAP_CNT = (CALC_TAP_CNT + PHASER_TAP_RES - 1)/PHASER_TAP_RES;
110
   //Decrement control byte lanes
111
   localparam TAP_DEC = (SIM_CAL_OPTION == "FAST_CAL") ? 0 : 29;
112
 
113
 
114
 
115
 
116
   reg       delay_dec_done;
117
   reg       delay_done_r1;
118
   reg       delay_done_r2;
119
   reg       delay_done_r3;
120
   reg       delay_done_r4 /* synthesis syn_maxfan = 10 */;
121
   reg [5:0] delay_cnt_r;
122
   reg [5:0] delaydec_cnt_r;
123
   reg       po_cnt_inc;
124
   reg       po_cnt_dec;
125
   reg [3:0] wait_cnt_r;
126
 
127
   assign po_ck_addr_cmd_delay_done = ((TAP_CNT == 0) && (TAP_DEC == 0)) ? 1'b1 : delay_done_r4;
128
 
129
   always @(posedge clk) begin
130
     if (rst || po_cnt_dec || po_cnt_inc)
131
       wait_cnt_r <= #TCQ 'd8;
132
     else if (cmd_delay_start && (wait_cnt_r > 'd0))
133
       wait_cnt_r <= #TCQ wait_cnt_r - 1;
134
   end
135
 
136
   always @(posedge clk) begin
137
     if (rst || (delaydec_cnt_r > 6'd0) || (delay_cnt_r == 'd0) || (TAP_DEC == 0))
138
       po_cnt_inc      <= #TCQ 1'b0;
139
     else if ((delay_cnt_r > 'd0) && (wait_cnt_r == 'd1))
140
       po_cnt_inc      <= #TCQ 1'b1;
141
     else
142
       po_cnt_inc      <= #TCQ 1'b0;
143
   end
144
 
145
   //Tap decrement
146
   always @(posedge clk) begin
147
     if (rst || (delaydec_cnt_r == 'd0))
148
       po_cnt_dec      <= #TCQ 1'b0;
149
     else if (cmd_delay_start && (delaydec_cnt_r > 'd0) && (wait_cnt_r == 'd1))
150
       po_cnt_dec      <= #TCQ 1'b1;
151
     else
152
       po_cnt_dec      <= #TCQ 1'b0;
153
   end
154
 
155
   //po_stg2_f_incdec and po_en_stg2_f stay asserted HIGH for TAP_COUNT cycles for every control byte lane   
156
   //the alignment is started once the                  
157
   always @(posedge clk) begin
158
     if (rst) begin
159
       po_stg2_f_incdec <= #TCQ 1'b0;
160
       po_en_stg2_f     <= #TCQ 1'b0;
161
       po_stg2_c_incdec <= #TCQ 1'b0;
162
       po_en_stg2_c     <= #TCQ 1'b0;
163
     end else begin
164
       if (po_cnt_dec) begin
165
         po_stg2_f_incdec <= #TCQ 1'b0;
166
         po_en_stg2_f     <= #TCQ 1'b1;
167
       end else begin
168
         po_stg2_f_incdec <= #TCQ 1'b0;
169
         po_en_stg2_f     <= #TCQ 1'b0;
170
       end
171
       if (po_cnt_inc) begin
172
         po_stg2_c_incdec <= #TCQ 1'b1;
173
         po_en_stg2_c     <= #TCQ 1'b1;
174
       end else begin
175
         po_stg2_c_incdec <= #TCQ 1'b0;
176
         po_en_stg2_c     <= #TCQ 1'b0;
177
       end
178
     end
179
   end
180
 
181
   // delay counter to count 2 cycles
182
   // Increment coarse taps by 2 for all control byte lanes
183
   // to mitigate late writes
184
   always @(posedge clk) begin
185
     // load delay counter with init value
186
     if (rst || (tCK > 2500) || (SIM_CAL_OPTION == "FAST_CAL"))
187
       delay_cnt_r  <= #TCQ 'd0;
188
     else if ((delaydec_cnt_r > 6'd0) ||((delay_cnt_r == 6'd0) && (ctl_lane_cnt != N_CTL_LANES-1)))
189
       delay_cnt_r  <= #TCQ 'd1;
190
     else if (po_cnt_inc && (delay_cnt_r > 6'd0))
191
       delay_cnt_r  <= #TCQ delay_cnt_r - 1;
192
   end
193
 
194
   // delay counter to count TAP_DEC cycles
195
   always @(posedge clk) begin
196
     // load delay counter with init value of TAP_DEC
197
     if (rst || ~cmd_delay_start ||((delaydec_cnt_r == 6'd0) && (delay_cnt_r == 6'd0) && (ctl_lane_cnt != N_CTL_LANES-1)))
198
       delaydec_cnt_r  <= #TCQ TAP_DEC;
199
     else if (po_cnt_dec && (delaydec_cnt_r > 6'd0))
200
       delaydec_cnt_r  <= #TCQ delaydec_cnt_r - 1;
201
   end
202
 
203
   //ctl_lane_cnt is used to count the number of CTL_LANES or byte lanes that have the address/command phase shifted by 1/4 mem. cycle
204
   //This ensures all ctrl byte lanes have had their output phase shifted.
205
   always @(posedge clk) begin
206
     if (rst || ~cmd_delay_start )
207
       ctl_lane_cnt <= #TCQ 6'b0;
208
     else if (~delay_dec_done && (ctl_lane_cnt == N_CTL_LANES-1) && (delaydec_cnt_r == 6'd1))
209
       ctl_lane_cnt <= #TCQ ctl_lane_cnt;
210
     else if ((ctl_lane_cnt != N_CTL_LANES-1) && (delaydec_cnt_r == 6'd0) && (delay_cnt_r == 'd0))
211
       ctl_lane_cnt <= #TCQ ctl_lane_cnt + 1;
212
   end
213
 
214
   // All control lanes have decremented to 31 fine taps from 46
215
   always @(posedge clk) begin
216
     if (rst || ~cmd_delay_start)  begin
217
       delay_dec_done    <= #TCQ 1'b0;
218
     end else if (((TAP_CNT == 0) && (TAP_DEC == 0)) ||
219
                 ((delaydec_cnt_r == 6'd0) && (delay_cnt_r == 'd0) && (ctl_lane_cnt == N_CTL_LANES-1))) begin
220
       delay_dec_done    <= #TCQ 1'b1;
221
     end
222
   end
223
 
224
 
225
 
226
   always @(posedge clk) begin
227
     delay_done_r1 <= #TCQ delay_dec_done;
228
     delay_done_r2 <= #TCQ delay_done_r1;
229
     delay_done_r3 <= #TCQ delay_done_r2;
230
     delay_done_r4 <= #TCQ delay_done_r3;
231
   end
232
 
233
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.