OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.14/] [memfifo.srcs/] [sources_1/] [ip/] [mig_7series_0/] [mig_7series_0/] [user_design/] [rtl/] [phy/] [mig_7series_v2_3_ddr_phy_dqs_found_cal_hr.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
//*****************************************************************************
2
// (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved.
3
//
4
// This file contains confidential and proprietary information
5
// of Xilinx, Inc. and is protected under U.S. and
6
// international copyright and other intellectual property
7
// laws.
8
//
9
// DISCLAIMER
10
// This disclaimer is not a license and does not grant any
11
// rights to the materials distributed herewith. Except as
12
// otherwise provided in a valid license issued to you by
13
// Xilinx, and to the maximum extent permitted by applicable
14
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
// (2) Xilinx shall not be liable (whether in contract or tort,
20
// including negligence, or under any other theory of
21
// liability) for any loss or damage of any kind or nature
22
// related to, arising under or in connection with these
23
// materials, including for any direct, or any indirect,
24
// special, incidental, or consequential loss or damage
25
// (including loss of data, profits, goodwill, or any type of
26
// loss or damage suffered as a result of any action brought
27
// by a third party) even if such damage or loss was
28
// reasonably foreseeable or Xilinx had been advised of the
29
// possibility of the same.
30
//
31
// CRITICAL APPLICATIONS
32
// Xilinx products are not designed or intended to be fail-
33
// safe, or for use in any application requiring fail-safe
34
// performance, such as life-support or safety devices or
35
// systems, Class III medical devices, nuclear facilities,
36
// applications related to the deployment of airbags, or any
37
// other applications that could lead to death, personal
38
// injury, or severe property or environmental damage
39
// (individually and collectively, "Critical
40
// Applications"). Customer assumes the sole risk and
41
// liability of any use of Xilinx products in Critical
42
// Applications, subject only to applicable laws and
43
// regulations governing limitations on product liability.
44
//
45
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
// PART OF THIS FILE AT ALL TIMES.
47
//
48
//*****************************************************************************
49
//   ____  ____
50
//  /   /\/   /
51
// /___/  \  /    Vendor: Xilinx
52
// \   \   \/     Version:
53
//  \   \         Application: MIG
54
//  /   /         Filename: ddr_phy_dqs_found_cal.v
55
// /___/   /\     Date Last Modified: $Date: 2011/06/02 08:35:08 $
56
// \   \  /  \    Date Created:
57
//  \___\/\___\
58
//
59
//Device: 7 Series
60
//Design Name: DDR3 SDRAM
61
//Purpose:
62
//  Read leveling calibration logic
63
//  NOTES:
64
//    1. Phaser_In DQSFOUND calibration
65
//Reference:
66
//Revision History:
67
//*****************************************************************************
68
 
69
/******************************************************************************
70
**$Id: ddr_phy_dqs_found_cal.v,v 1.1 2011/06/02 08:35:08 mishra Exp $
71
**$Date: 2011/06/02 08:35:08 $
72
**$Author:
73
**$Revision:
74
**$Source:
75
******************************************************************************/
76
 
77
`timescale 1ps/1ps
78
 
79
module mig_7series_v2_3_ddr_phy_dqs_found_cal_hr #
80
  (
81
   parameter TCQ              = 100,    // clk->out delay (sim only)
82
   parameter nCK_PER_CLK      = 2,      // # of memory clocks per CLK
83
   parameter nCL              = 5,      // Read CAS latency
84
   parameter AL               = "0",
85
   parameter nCWL             = 5,      // Write CAS latency
86
   parameter DRAM_TYPE        = "DDR3",  // Memory I/F type: "DDR3", "DDR2"
87
   parameter RANKS            = 1,      // # of memory ranks in the system
88
   parameter DQS_CNT_WIDTH    = 3,      // = ceil(log2(DQS_WIDTH))
89
   parameter DQS_WIDTH        = 8,      // # of DQS (strobe)
90
   parameter DRAM_WIDTH       = 8,      // # of DQ per DQS
91
   parameter REG_CTRL         = "ON",   // "ON" for registered DIMM
92
   parameter SIM_CAL_OPTION   = "NONE",  // Performs all calibration steps
93
   parameter NUM_DQSFOUND_CAL = 3,      // Number of times to iterate
94
   parameter N_CTL_LANES      = 3,      // Number of control byte lanes
95
   parameter HIGHEST_LANE     = 12,     // Sum of byte lanes (Data + Ctrl)
96
   parameter HIGHEST_BANK     = 3,      // Sum of I/O Banks
97
   parameter BYTE_LANES_B0    = 4'b1111,
98
   parameter BYTE_LANES_B1    = 4'b0000,
99
   parameter BYTE_LANES_B2    = 4'b0000,
100
   parameter BYTE_LANES_B3    = 4'b0000,
101
   parameter BYTE_LANES_B4    = 4'b0000,
102
   parameter DATA_CTL_B0      = 4'hc,
103
   parameter DATA_CTL_B1      = 4'hf,
104
   parameter DATA_CTL_B2      = 4'hf,
105
   parameter DATA_CTL_B3      = 4'hf,
106
   parameter DATA_CTL_B4      = 4'hf
107
   )
108
  (
109
   input                         clk,
110
   input                         rst,
111
   input                         dqsfound_retry,
112
   // From phy_init
113
   input                         pi_dqs_found_start,
114
   input                         detect_pi_found_dqs,
115
   input                         prech_done,
116
   // DQSFOUND per Phaser_IN
117
   input [HIGHEST_LANE-1:0]      pi_dqs_found_lanes,
118
 
119
   output reg [HIGHEST_BANK-1:0] pi_rst_stg1_cal,
120
 
121
   // To phy_init
122
   output [5:0]                  rd_data_offset_0,
123
   output [5:0]                  rd_data_offset_1,
124
   output [5:0]                  rd_data_offset_2,
125
   output                        pi_dqs_found_rank_done,
126
   output                        pi_dqs_found_done,
127
   output reg                    pi_dqs_found_err,
128
   output [6*RANKS-1:0]          rd_data_offset_ranks_0,
129
   output [6*RANKS-1:0]          rd_data_offset_ranks_1,
130
   output [6*RANKS-1:0]          rd_data_offset_ranks_2,
131
   output reg                    dqsfound_retry_done,
132
   output reg                    dqs_found_prech_req,
133
   //To MC
134
   output [6*RANKS-1:0]          rd_data_offset_ranks_mc_0,
135
   output [6*RANKS-1:0]          rd_data_offset_ranks_mc_1,
136
   output [6*RANKS-1:0]          rd_data_offset_ranks_mc_2,
137
 
138
   input [8:0]                   po_counter_read_val,
139
   output                        rd_data_offset_cal_done,
140
   output                        fine_adjust_done,
141
   output [N_CTL_LANES-1:0]      fine_adjust_lane_cnt,
142
   output reg                    ck_po_stg2_f_indec,
143
   output reg                    ck_po_stg2_f_en,
144
   output [255:0]                dbg_dqs_found_cal
145
  );
146
 
147
 
148
   // For non-zero AL values
149
   localparam nAL = (AL == "CL-1") ? nCL - 1 : 0;
150
 
151
   // Adding the register dimm latency to write latency
152
   localparam CWL_M = (REG_CTRL == "ON") ? nCWL + nAL + 1 : nCWL + nAL;
153
 
154
   // Added to reduce simulation time
155
   localparam LATENCY_FACTOR = 13;
156
 
157
   localparam NUM_READS = (SIM_CAL_OPTION == "NONE") ? 7 : 1;
158
 
159
   localparam [19:0] DATA_PRESENT = {(DATA_CTL_B4[3] & BYTE_LANES_B4[3]),
160
                                     (DATA_CTL_B4[2] & BYTE_LANES_B4[2]),
161
                                     (DATA_CTL_B4[1] & BYTE_LANES_B4[1]),
162
                                     (DATA_CTL_B4[0] & BYTE_LANES_B4[0]),
163
                                     (DATA_CTL_B3[3] & BYTE_LANES_B3[3]),
164
                                     (DATA_CTL_B3[2] & BYTE_LANES_B3[2]),
165
                                     (DATA_CTL_B3[1] & BYTE_LANES_B3[1]),
166
                                     (DATA_CTL_B3[0] & BYTE_LANES_B3[0]),
167
                                     (DATA_CTL_B2[3] & BYTE_LANES_B2[3]),
168
                                     (DATA_CTL_B2[2] & BYTE_LANES_B2[2]),
169
                                     (DATA_CTL_B2[1] & BYTE_LANES_B2[1]),
170
                                     (DATA_CTL_B2[0] & BYTE_LANES_B2[0]),
171
                                     (DATA_CTL_B1[3] & BYTE_LANES_B1[3]),
172
                                     (DATA_CTL_B1[2] & BYTE_LANES_B1[2]),
173
                                     (DATA_CTL_B1[1] & BYTE_LANES_B1[1]),
174
                                     (DATA_CTL_B1[0] & BYTE_LANES_B1[0]),
175
                                     (DATA_CTL_B0[3] & BYTE_LANES_B0[3]),
176
                                     (DATA_CTL_B0[2] & BYTE_LANES_B0[2]),
177
                                     (DATA_CTL_B0[1] & BYTE_LANES_B0[1]),
178
                                     (DATA_CTL_B0[0] & BYTE_LANES_B0[0])};
179
 
180
   localparam FINE_ADJ_IDLE    = 4'h0;
181
   localparam RST_POSTWAIT     = 4'h1;
182
   localparam RST_POSTWAIT1    = 4'h2;
183
   localparam RST_WAIT         = 4'h3;
184
   localparam FINE_ADJ_INIT    = 4'h4;
185
   localparam FINE_INC         = 4'h5;
186
   localparam FINE_INC_WAIT    = 4'h6;
187
   localparam FINE_INC_PREWAIT = 4'h7;
188
   localparam DETECT_PREWAIT   = 4'h8;
189
   localparam DETECT_DQSFOUND  = 4'h9;
190
   localparam PRECH_WAIT       = 4'hA;
191
   localparam FINE_DEC         = 4'hB;
192
   localparam FINE_DEC_WAIT    = 4'hC;
193
   localparam FINE_DEC_PREWAIT = 4'hD;
194
   localparam FINAL_WAIT       = 4'hE;
195
   localparam FINE_ADJ_DONE    = 4'hF;
196
 
197
 
198
  integer k,l,m,n,p,q,r,s;
199
 
200
  reg                       dqs_found_start_r;
201
  reg [6*HIGHEST_BANK-1:0]  rd_byte_data_offset[0:RANKS-1];
202
  reg                       rank_done_r;
203
  reg                       rank_done_r1;
204
  reg                       dqs_found_done_r;
205
  (* ASYNC_REG = "TRUE" *) reg [HIGHEST_LANE-1:0] pi_dqs_found_lanes_r1;
206
  (* ASYNC_REG = "TRUE" *) reg [HIGHEST_LANE-1:0] pi_dqs_found_lanes_r2;
207
  (* ASYNC_REG = "TRUE" *) reg [HIGHEST_LANE-1:0] pi_dqs_found_lanes_r3;
208
  reg                       init_dqsfound_done_r;
209
  reg                       init_dqsfound_done_r1;
210
  reg                       init_dqsfound_done_r2;
211
  reg                       init_dqsfound_done_r3;
212
  reg                       init_dqsfound_done_r4;
213
  reg                       init_dqsfound_done_r5;
214
  reg [1:0]                 rnk_cnt_r;
215
  reg [2:0 ]                final_do_index[0:RANKS-1];
216
  reg [5:0 ]                final_do_max[0:RANKS-1];
217
  reg [6*HIGHEST_BANK-1:0]  final_data_offset[0:RANKS-1];
218
  reg [6*HIGHEST_BANK-1:0]  final_data_offset_mc[0:RANKS-1];
219
  reg [HIGHEST_BANK-1:0]    pi_rst_stg1_cal_r;
220
  reg [HIGHEST_BANK-1:0]    pi_rst_stg1_cal_r1;
221
  reg [10*HIGHEST_BANK-1:0] retry_cnt;
222
  reg                       dqsfound_retry_r1;
223
  wire [4*HIGHEST_BANK-1:0] pi_dqs_found_lanes_int;
224
  reg [HIGHEST_BANK-1:0]    pi_dqs_found_all_bank;
225
  reg [HIGHEST_BANK-1:0]    pi_dqs_found_all_bank_r;
226
  reg [HIGHEST_BANK-1:0]    pi_dqs_found_any_bank;
227
  reg [HIGHEST_BANK-1:0]    pi_dqs_found_any_bank_r;
228
  reg [HIGHEST_BANK-1:0]    pi_dqs_found_err_r;
229
 
230
  // CK/Control byte lanes fine adjust stage
231
  reg                       fine_adjust;
232
  reg [N_CTL_LANES-1:0]     ctl_lane_cnt;
233
  reg [3:0]                 fine_adj_state_r;
234
  reg                       fine_adjust_done_r;
235
  reg                       rst_dqs_find;
236
  reg                       rst_dqs_find_r1;
237
  reg                       rst_dqs_find_r2;
238
  reg [5:0]                 init_dec_cnt;
239
  reg [5:0]                 dec_cnt;
240
  reg [5:0]                 inc_cnt;
241
  reg                       final_dec_done;
242
  reg                       init_dec_done;
243
  reg                       first_fail_detect;
244
  reg                       second_fail_detect;
245
  reg [5:0]                 first_fail_taps;
246
  reg [5:0]                 second_fail_taps;
247
  reg [5:0]                 stable_pass_cnt;
248
  reg [3:0]                 detect_rd_cnt;
249
 
250
 
251
 
252
 
253
  //***************************************************************************
254
  // Debug signals
255
  //
256
  //***************************************************************************
257
  assign dbg_dqs_found_cal[5:0]  = first_fail_taps;
258
  assign dbg_dqs_found_cal[11:6] = second_fail_taps;
259
  assign dbg_dqs_found_cal[12]   = first_fail_detect;
260
  assign dbg_dqs_found_cal[13]   = second_fail_detect;
261
  assign dbg_dqs_found_cal[14]   = fine_adjust_done_r;
262
 
263
 
264
  assign pi_dqs_found_rank_done    = rank_done_r;
265
  assign pi_dqs_found_done         = dqs_found_done_r;
266
 
267
  generate
268
  genvar rnk_cnt;
269
    if (HIGHEST_BANK == 3) begin // Three Bank Interface
270
      for (rnk_cnt = 0; rnk_cnt < RANKS; rnk_cnt = rnk_cnt + 1) begin: rnk_loop
271
        assign rd_data_offset_ranks_0[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][5:0];
272
        assign rd_data_offset_ranks_1[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][11:6];
273
        assign rd_data_offset_ranks_2[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][17:12];
274
        assign rd_data_offset_ranks_mc_0[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][5:0];
275
        assign rd_data_offset_ranks_mc_1[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][11:6];
276
        assign rd_data_offset_ranks_mc_2[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][17:12];
277
      end
278
    end else if (HIGHEST_BANK == 2) begin // Two Bank Interface
279
      for (rnk_cnt = 0; rnk_cnt < RANKS; rnk_cnt = rnk_cnt + 1) begin: rnk_loop
280
        assign rd_data_offset_ranks_0[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][5:0];
281
        assign rd_data_offset_ranks_1[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][11:6];
282
        assign rd_data_offset_ranks_2[6*rnk_cnt+:6] = 'd0;
283
        assign rd_data_offset_ranks_mc_0[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][5:0];
284
        assign rd_data_offset_ranks_mc_1[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][11:6];
285
        assign rd_data_offset_ranks_mc_2[6*rnk_cnt+:6] = 'd0;
286
      end
287
    end else begin // Single Bank Interface
288
      for (rnk_cnt = 0; rnk_cnt < RANKS; rnk_cnt = rnk_cnt + 1) begin: rnk_loop
289
        assign rd_data_offset_ranks_0[6*rnk_cnt+:6] = final_data_offset[rnk_cnt][5:0];
290
        assign rd_data_offset_ranks_1[6*rnk_cnt+:6] = 'd0;
291
        assign rd_data_offset_ranks_2[6*rnk_cnt+:6] = 'd0;
292
        assign rd_data_offset_ranks_mc_0[6*rnk_cnt+:6] = final_data_offset_mc[rnk_cnt][5:0];
293
        assign rd_data_offset_ranks_mc_1[6*rnk_cnt+:6] = 'd0;
294
        assign rd_data_offset_ranks_mc_2[6*rnk_cnt+:6] = 'd0;
295
      end
296
    end
297
  endgenerate
298
 
299
  // final_data_offset is used during write calibration and during
300
  // normal operation. One rd_data_offset value per rank for entire
301
  // interface
302
  generate
303
  if (HIGHEST_BANK == 3) begin // Three I/O Bank interface
304
    assign rd_data_offset_0 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][0+:6] :
305
                               final_data_offset[rnk_cnt_r][0+:6];
306
    assign rd_data_offset_1 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][6+:6] :
307
                               final_data_offset[rnk_cnt_r][6+:6];
308
    assign rd_data_offset_2 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][12+:6] :
309
                               final_data_offset[rnk_cnt_r][12+:6];
310
  end else if (HIGHEST_BANK == 2) begin // Two I/O Bank interface
311
    assign rd_data_offset_0 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][0+:6] :
312
                               final_data_offset[rnk_cnt_r][0+:6];
313
    assign rd_data_offset_1 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][6+:6] :
314
                               final_data_offset[rnk_cnt_r][6+:6];
315
    assign rd_data_offset_2 = 'd0;
316
  end else begin
317
    assign rd_data_offset_0 = (~init_dqsfound_done_r2) ? rd_byte_data_offset[rnk_cnt_r][0+:6] :
318
                               final_data_offset[rnk_cnt_r][0+:6];
319
    assign rd_data_offset_1 = 'd0;
320
    assign rd_data_offset_2 = 'd0;
321
  end
322
  endgenerate
323
 
324
  assign rd_data_offset_cal_done = init_dqsfound_done_r;
325
  assign fine_adjust_lane_cnt    = ctl_lane_cnt;
326
 
327
  //**************************************************************************
328
  // DQSFOUND all and any generation
329
  // pi_dqs_found_all_bank[x] asserted when all Phaser_INs in Bankx are
330
  // asserted
331
  // pi_dqs_found_any_bank[x] asserted when at least one Phaser_IN in Bankx
332
  // is asserted
333
  //**************************************************************************
334
 
335
  generate
336
  if ((HIGHEST_LANE == 4) || (HIGHEST_LANE == 8) || (HIGHEST_LANE == 12))
337
    assign pi_dqs_found_lanes_int = pi_dqs_found_lanes_r3;
338
  else if ((HIGHEST_LANE == 7) || (HIGHEST_LANE == 11))
339
    assign pi_dqs_found_lanes_int = {1'b0, pi_dqs_found_lanes_r3};
340
  else if ((HIGHEST_LANE == 6) || (HIGHEST_LANE == 10))
341
    assign pi_dqs_found_lanes_int = {2'b00, pi_dqs_found_lanes_r3};
342
  else if ((HIGHEST_LANE == 5) || (HIGHEST_LANE == 9))
343
    assign pi_dqs_found_lanes_int = {3'b000, pi_dqs_found_lanes_r3};
344
  endgenerate
345
 
346
  always @(posedge clk) begin
347
    if (rst) begin
348
      for (k = 0; k < HIGHEST_BANK; k = k + 1) begin: rst_pi_dqs_found
349
        pi_dqs_found_all_bank[k] <= #TCQ 'b0;
350
        pi_dqs_found_any_bank[k] <= #TCQ 'b0;
351
      end
352
    end else if (pi_dqs_found_start) begin
353
      for (p = 0; p < HIGHEST_BANK; p = p +1) begin: assign_pi_dqs_found
354
          pi_dqs_found_all_bank[p] <= #TCQ (!DATA_PRESENT[4*p+0] | pi_dqs_found_lanes_int[4*p+0]) &
355
                                           (!DATA_PRESENT[4*p+1] | pi_dqs_found_lanes_int[4*p+1]) &
356
                                           (!DATA_PRESENT[4*p+2] | pi_dqs_found_lanes_int[4*p+2]) &
357
                                           (!DATA_PRESENT[4*p+3] | pi_dqs_found_lanes_int[4*p+3]);
358
          pi_dqs_found_any_bank[p] <= #TCQ (DATA_PRESENT[4*p+0] & pi_dqs_found_lanes_int[4*p+0]) |
359
                                           (DATA_PRESENT[4*p+1] & pi_dqs_found_lanes_int[4*p+1]) |
360
                                           (DATA_PRESENT[4*p+2] & pi_dqs_found_lanes_int[4*p+2]) |
361
                                           (DATA_PRESENT[4*p+3] & pi_dqs_found_lanes_int[4*p+3]);
362
      end
363
    end
364
  end
365
 
366
 
367
  always @(posedge clk) begin
368
    pi_dqs_found_all_bank_r <= #TCQ pi_dqs_found_all_bank;
369
    pi_dqs_found_any_bank_r <= #TCQ pi_dqs_found_any_bank;
370
  end
371
 
372
//*****************************************************************************
373
// Counter to increase number of 4 back-to-back reads per rd_data_offset and
374
// per CK/A/C tap value
375
//*****************************************************************************
376
 
377
  always @(posedge clk) begin
378
    if (rst || (detect_rd_cnt == 'd0))
379
          detect_rd_cnt <= #TCQ NUM_READS;
380
        else if (detect_pi_found_dqs && (detect_rd_cnt > 'd0))
381
          detect_rd_cnt <= #TCQ detect_rd_cnt - 1;
382
  end
383
 
384
   //**************************************************************************
385
   // Adjust Phaser_Out stage 2 taps on CK/Address/Command/Controls 
386
   // 
387
   //**************************************************************************
388
 
389
   assign fine_adjust_done = fine_adjust_done_r;
390
 
391
   always @(posedge clk) begin
392
     rst_dqs_find_r1 <= #TCQ rst_dqs_find;
393
         rst_dqs_find_r2 <= #TCQ rst_dqs_find_r1;
394
   end
395
 
396
   always @(posedge clk) begin
397
      if(rst)begin
398
        fine_adjust        <= #TCQ 1'b0;
399
        ctl_lane_cnt       <= #TCQ 'd0;
400
        fine_adj_state_r   <= #TCQ FINE_ADJ_IDLE;
401
        fine_adjust_done_r <= #TCQ 1'b0;
402
        ck_po_stg2_f_indec <= #TCQ 1'b0;
403
        ck_po_stg2_f_en    <= #TCQ 1'b0;
404
        rst_dqs_find       <= #TCQ 1'b0;
405
        init_dec_cnt       <= #TCQ 'd31;
406
        dec_cnt            <= #TCQ 'd0;
407
        inc_cnt            <= #TCQ 'd0;
408
        init_dec_done      <= #TCQ 1'b0;
409
        final_dec_done     <= #TCQ 1'b0;
410
        first_fail_detect  <= #TCQ 1'b0;
411
        second_fail_detect <= #TCQ 1'b0;
412
        first_fail_taps    <= #TCQ 'd0;
413
        second_fail_taps   <= #TCQ 'd0;
414
        stable_pass_cnt    <= #TCQ 'd0;
415
        dqs_found_prech_req<= #TCQ 1'b0;
416
      end else begin
417
        case (fine_adj_state_r)
418
 
419
           FINE_ADJ_IDLE: begin
420
             if (init_dqsfound_done_r5) begin
421
               if (SIM_CAL_OPTION == "FAST_CAL") begin
422
                 fine_adjust      <= #TCQ 1'b1;
423
                 fine_adj_state_r <= #TCQ FINE_ADJ_DONE;
424
                 rst_dqs_find     <= #TCQ 1'b0;
425
               end else begin
426
                 fine_adjust      <= #TCQ 1'b1;
427
                 fine_adj_state_r <= #TCQ RST_WAIT;
428
                 rst_dqs_find     <= #TCQ 1'b1;
429
               end
430
             end
431
           end
432
 
433
           RST_WAIT: begin
434
             if (~(|pi_dqs_found_any_bank) && rst_dqs_find_r2) begin
435
               rst_dqs_find     <= #TCQ 1'b0;
436
               if (|init_dec_cnt)
437
                 fine_adj_state_r <= #TCQ FINE_DEC_PREWAIT;
438
               else if (final_dec_done)
439
                 fine_adj_state_r <= #TCQ FINE_ADJ_DONE;
440
               else
441
                 fine_adj_state_r <= #TCQ RST_POSTWAIT;
442
             end
443
           end
444
 
445
           RST_POSTWAIT: begin
446
             fine_adj_state_r <= #TCQ RST_POSTWAIT1;
447
           end
448
 
449
           RST_POSTWAIT1: begin
450
             fine_adj_state_r <= #TCQ FINE_ADJ_INIT;
451
           end
452
 
453
           FINE_ADJ_INIT: begin
454
             //if (detect_pi_found_dqs && (inc_cnt < 'd63))
455
               fine_adj_state_r <= #TCQ FINE_INC;
456
           end
457
 
458
           FINE_INC: begin
459
             fine_adj_state_r   <= #TCQ FINE_INC_WAIT;
460
             ck_po_stg2_f_indec <= #TCQ 1'b1;
461
             ck_po_stg2_f_en    <= #TCQ 1'b1;
462
             if (ctl_lane_cnt == N_CTL_LANES-1)
463
               inc_cnt          <= #TCQ inc_cnt + 1;
464
           end
465
 
466
           FINE_INC_WAIT: begin
467
             ck_po_stg2_f_indec <= #TCQ 1'b0;
468
             ck_po_stg2_f_en    <= #TCQ 1'b0;
469
             if (ctl_lane_cnt != N_CTL_LANES-1) begin
470
               ctl_lane_cnt     <= #TCQ ctl_lane_cnt + 1;
471
               fine_adj_state_r <= #TCQ FINE_INC_PREWAIT;
472
             end else if (ctl_lane_cnt == N_CTL_LANES-1) begin
473
               ctl_lane_cnt     <= #TCQ 'd0;
474
               fine_adj_state_r <= #TCQ DETECT_PREWAIT;
475
             end
476
           end
477
 
478
           FINE_INC_PREWAIT: begin
479
             fine_adj_state_r <= #TCQ FINE_INC;
480
           end
481
 
482
           DETECT_PREWAIT: begin
483
             if (detect_pi_found_dqs && (detect_rd_cnt == 'd1))
484
               fine_adj_state_r <= #TCQ DETECT_DQSFOUND;
485
                         else
486
                           fine_adj_state_r <= #TCQ DETECT_PREWAIT;
487
           end
488
 
489
           DETECT_DQSFOUND: begin
490
             if (detect_pi_found_dqs && ~(&pi_dqs_found_all_bank)) begin
491
               stable_pass_cnt     <= #TCQ 'd0;
492
               if (~first_fail_detect && (inc_cnt == 'd63)) begin
493
                 // First failing tap detected at 63 taps
494
                 // then decrement to 31
495
                 first_fail_detect <= #TCQ 1'b1;
496
                 first_fail_taps   <= #TCQ inc_cnt;
497
                 fine_adj_state_r  <= #TCQ FINE_DEC;
498
                 dec_cnt           <= #TCQ 'd32;
499
               end else if (~first_fail_detect && (inc_cnt > 'd30) && (stable_pass_cnt > 'd29)) begin
500
                 // First failing tap detected at greater than 30 taps
501
                 // then stop looking for second edge and decrement
502
                 first_fail_detect <= #TCQ 1'b1;
503
                 first_fail_taps   <= #TCQ inc_cnt;
504
                 fine_adj_state_r  <= #TCQ FINE_DEC;
505
                 dec_cnt           <= #TCQ (inc_cnt>>1) + 1;
506
                           end else if (~first_fail_detect || (first_fail_detect && (stable_pass_cnt < 'd30) && (inc_cnt <= 'd32))) begin
507
                 // First failing tap detected, continue incrementing
508
                 // until either second failing tap detected or 63
509
                 first_fail_detect <= #TCQ 1'b1;
510
                 first_fail_taps   <= #TCQ inc_cnt;
511
                 rst_dqs_find      <= #TCQ 1'b1;
512
                 if ((inc_cnt == 'd12) || (inc_cnt == 'd24)) begin
513
                   dqs_found_prech_req <= #TCQ 1'b1;
514
                   fine_adj_state_r    <= #TCQ PRECH_WAIT;
515
                 end else
516
                 fine_adj_state_r  <= #TCQ RST_WAIT;
517
               end else if (first_fail_detect && (inc_cnt > 'd32) && (inc_cnt < 'd63) && (stable_pass_cnt < 'd30)) begin
518
                 // Consecutive 30 taps of passing region was not found
519
                 // continue incrementing
520
                 first_fail_detect <= #TCQ 1'b1;
521
                 first_fail_taps   <= #TCQ inc_cnt;
522
                 rst_dqs_find      <= #TCQ 1'b1;
523
                 if ((inc_cnt == 'd36) || (inc_cnt == 'd48) || (inc_cnt == 'd60)) begin
524
                   dqs_found_prech_req <= #TCQ 1'b1;
525
                   fine_adj_state_r    <= #TCQ PRECH_WAIT;
526
                 end else
527
                   fine_adj_state_r  <= #TCQ RST_WAIT;
528
               end else if (first_fail_detect && (inc_cnt == 'd63)) begin
529
                 if (stable_pass_cnt < 'd30) begin
530
                   // Consecutive 30 taps of passing region was not found
531
                   // from tap 0 to 63 so decrement back to 31
532
                   first_fail_detect <= #TCQ 1'b1;
533
                   first_fail_taps   <= #TCQ inc_cnt;
534
                   fine_adj_state_r  <= #TCQ FINE_DEC;
535
                   dec_cnt           <= #TCQ 'd32;
536
                 end else begin
537
                   // Consecutive 30 taps of passing region was found
538
                   // between first_fail_taps and 63
539
                   fine_adj_state_r  <= #TCQ FINE_DEC;
540
                   dec_cnt           <= #TCQ ((inc_cnt - first_fail_taps)>>1);
541
                 end
542
               end else begin
543
                 // Second failing tap detected, decrement to center of
544
                 // failing taps
545
                 second_fail_detect <= #TCQ 1'b1;
546
                 second_fail_taps   <= #TCQ inc_cnt;
547
                 dec_cnt            <= #TCQ ((inc_cnt - first_fail_taps)>>1);
548
                 fine_adj_state_r   <= #TCQ FINE_DEC;
549
               end
550
             end else if (detect_pi_found_dqs && (&pi_dqs_found_all_bank)) begin
551
               stable_pass_cnt    <= #TCQ stable_pass_cnt + 1;
552
               if ((inc_cnt == 'd12) || (inc_cnt == 'd24) || (inc_cnt == 'd36) ||
553
                   (inc_cnt == 'd48) || (inc_cnt == 'd60)) begin
554
                 dqs_found_prech_req <= #TCQ 1'b1;
555
                 fine_adj_state_r    <= #TCQ PRECH_WAIT;
556
               end else if (inc_cnt < 'd63) begin
557
                 rst_dqs_find     <= #TCQ 1'b1;
558
                 fine_adj_state_r <= #TCQ RST_WAIT;
559
               end else begin
560
                 fine_adj_state_r <= #TCQ FINE_DEC;
561
                 if (~first_fail_detect || (first_fail_taps > 'd33))
562
                   // No failing taps detected, decrement by 31
563
                   dec_cnt <= #TCQ 'd32;
564
                 //else if (first_fail_detect && (stable_pass_cnt > 'd28))
565
                 //  // First failing tap detected between 0 and 34
566
                 //  // decrement midpoint between 63 and failing tap
567
                 //  dec_cnt <= #TCQ ((inc_cnt - first_fail_taps)>>1);
568
                 else
569
                   // First failing tap detected
570
                   // decrement to midpoint between 63 and failing tap
571
                   dec_cnt <= #TCQ ((inc_cnt - first_fail_taps)>>1);
572
               end
573
             end
574
           end
575
 
576
           PRECH_WAIT: begin
577
             if (prech_done) begin
578
               dqs_found_prech_req <= #TCQ 1'b0;
579
               rst_dqs_find        <= #TCQ 1'b1;
580
               fine_adj_state_r    <= #TCQ RST_WAIT;
581
             end
582
           end
583
 
584
 
585
           FINE_DEC: begin
586
             fine_adj_state_r   <= #TCQ FINE_DEC_WAIT;
587
             ck_po_stg2_f_indec <= #TCQ 1'b0;
588
             ck_po_stg2_f_en    <= #TCQ 1'b1;
589
             if ((ctl_lane_cnt == N_CTL_LANES-1) && (init_dec_cnt > 'd0))
590
               init_dec_cnt     <= #TCQ init_dec_cnt - 1;
591
             else if ((ctl_lane_cnt == N_CTL_LANES-1) && (dec_cnt > 'd0))
592
               dec_cnt          <= #TCQ dec_cnt - 1;
593
           end
594
 
595
           FINE_DEC_WAIT: begin
596
             ck_po_stg2_f_indec <= #TCQ 1'b0;
597
             ck_po_stg2_f_en    <= #TCQ 1'b0;
598
             if (ctl_lane_cnt != N_CTL_LANES-1) begin
599
               ctl_lane_cnt     <= #TCQ ctl_lane_cnt + 1;
600
               fine_adj_state_r <= #TCQ FINE_DEC_PREWAIT;
601
             end else if (ctl_lane_cnt == N_CTL_LANES-1) begin
602
               ctl_lane_cnt     <= #TCQ 'd0;
603
               if ((dec_cnt > 'd0) || (init_dec_cnt > 'd0))
604
                 fine_adj_state_r <= #TCQ FINE_DEC_PREWAIT;
605
               else begin
606
                 fine_adj_state_r <= #TCQ FINAL_WAIT;
607
                 if ((init_dec_cnt == 'd0) && ~init_dec_done)
608
                   init_dec_done <= #TCQ 1'b1;
609
                 else
610
                   final_dec_done   <= #TCQ 1'b1;
611
               end
612
             end
613
           end
614
 
615
           FINE_DEC_PREWAIT: begin
616
             fine_adj_state_r <= #TCQ FINE_DEC;
617
           end
618
 
619
           FINAL_WAIT: begin
620
             rst_dqs_find     <= #TCQ 1'b1;
621
             fine_adj_state_r <= #TCQ RST_WAIT;
622
           end
623
 
624
           FINE_ADJ_DONE: begin
625
             if (&pi_dqs_found_all_bank) begin
626
               fine_adjust_done_r <= #TCQ 1'b1;
627
               rst_dqs_find       <= #TCQ 1'b0;
628
               fine_adj_state_r   <= #TCQ FINE_ADJ_DONE;
629
             end
630
           end
631
 
632
        endcase
633
      end
634
   end
635
 
636
 
637
 
638
 
639
//*****************************************************************************     
640
 
641
 
642
  always@(posedge clk)
643
    dqs_found_start_r <= #TCQ pi_dqs_found_start;
644
 
645
 
646
  always @(posedge clk) begin
647
    if (rst)
648
      rnk_cnt_r <= #TCQ 2'b00;
649
    else if (init_dqsfound_done_r)
650
      rnk_cnt_r <= #TCQ rnk_cnt_r;
651
    else if (rank_done_r)
652
      rnk_cnt_r <= #TCQ rnk_cnt_r + 1;
653
  end
654
 
655
  //*****************************************************************
656
  // Read data_offset calibration done signal
657
  //*****************************************************************
658
 
659
    always @(posedge clk) begin
660
    if (rst || (|pi_rst_stg1_cal_r))
661
      init_dqsfound_done_r  <= #TCQ 1'b0;
662
    else if (&pi_dqs_found_all_bank) begin
663
      if (rnk_cnt_r == RANKS-1)
664
        init_dqsfound_done_r  <= #TCQ 1'b1;
665
      else
666
        init_dqsfound_done_r  <= #TCQ 1'b0;
667
    end
668
  end
669
 
670
  always @(posedge clk) begin
671
    if (rst  ||
672
       (init_dqsfound_done_r && (rnk_cnt_r == RANKS-1)))
673
      rank_done_r       <= #TCQ 1'b0;
674
    else if (&pi_dqs_found_all_bank && ~(&pi_dqs_found_all_bank_r))
675
      rank_done_r <= #TCQ 1'b1;
676
    else
677
      rank_done_r       <= #TCQ 1'b0;
678
  end
679
 
680
  always @(posedge clk) begin
681
    pi_dqs_found_lanes_r1   <= #TCQ pi_dqs_found_lanes;
682
    pi_dqs_found_lanes_r2   <= #TCQ pi_dqs_found_lanes_r1;
683
    pi_dqs_found_lanes_r3   <= #TCQ pi_dqs_found_lanes_r2;
684
    init_dqsfound_done_r1   <= #TCQ init_dqsfound_done_r;
685
    init_dqsfound_done_r2   <= #TCQ init_dqsfound_done_r1;
686
    init_dqsfound_done_r3   <= #TCQ init_dqsfound_done_r2;
687
    init_dqsfound_done_r4   <= #TCQ init_dqsfound_done_r3;
688
    init_dqsfound_done_r5   <= #TCQ init_dqsfound_done_r4;
689
    rank_done_r1            <= #TCQ rank_done_r;
690
    dqsfound_retry_r1       <= #TCQ dqsfound_retry;
691
  end
692
 
693
 
694
  always @(posedge clk) begin
695
    if (rst)
696
      dqs_found_done_r <= #TCQ 1'b0;
697
    else if (&pi_dqs_found_all_bank && (rnk_cnt_r == RANKS-1) && init_dqsfound_done_r1 &&
698
             (fine_adj_state_r == FINE_ADJ_DONE))
699
      dqs_found_done_r <= #TCQ 1'b1;
700
    else
701
      dqs_found_done_r <= #TCQ 1'b0;
702
  end
703
 
704
 
705
  generate
706
    if (HIGHEST_BANK == 3) begin // Three I/O Bank interface
707
 
708
      // Reset read data offset calibration in all DQS Phaser_INs
709
      // in a Bank after the read data offset value for a rank is determined
710
      // or if within a Bank DQSFOUND is not asserted for all DQSs
711
      always @(posedge clk) begin
712
        if (rst || pi_rst_stg1_cal_r1[0] || fine_adjust)
713
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b0;
714
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
715
                 //(dqsfound_retry[0]) ||
716
                 (pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0]) ||
717
                 (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
718
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b1;
719
      end
720
 
721
      always @(posedge clk) begin
722
        if (rst || pi_rst_stg1_cal_r1[1] || fine_adjust)
723
          pi_rst_stg1_cal_r[1] <= #TCQ 1'b0;
724
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
725
                 //(dqsfound_retry[1]) ||
726
                 (pi_dqs_found_any_bank_r[1] && ~pi_dqs_found_all_bank[1]) ||
727
                 (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
728
          pi_rst_stg1_cal_r[1] <= #TCQ 1'b1;
729
      end
730
 
731
      always @(posedge clk) begin
732
        if (rst || pi_rst_stg1_cal_r1[2] || fine_adjust)
733
          pi_rst_stg1_cal_r[2] <= #TCQ 1'b0;
734
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
735
                 //(dqsfound_retry[2]) ||
736
                 (pi_dqs_found_any_bank_r[2] && ~pi_dqs_found_all_bank[2]) ||
737
                 (rd_byte_data_offset[rnk_cnt_r][12+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
738
          pi_rst_stg1_cal_r[2] <= #TCQ 1'b1;
739
      end
740
 
741
      always @(posedge clk) begin
742
        if (rst || fine_adjust)
743
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
744
        else if (pi_rst_stg1_cal_r[0])
745
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b1;
746
        else if (~pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0])
747
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
748
      end
749
 
750
      always @(posedge clk) begin
751
        if (rst || fine_adjust)
752
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b0;
753
        else if (pi_rst_stg1_cal_r[1])
754
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b1;
755
        else if (~pi_dqs_found_any_bank_r[1] && ~pi_dqs_found_all_bank[1])
756
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b0;
757
      end
758
 
759
      always @(posedge clk) begin
760
        if (rst || fine_adjust)
761
          pi_rst_stg1_cal_r1[2]  <= #TCQ 1'b0;
762
        else if (pi_rst_stg1_cal_r[2])
763
          pi_rst_stg1_cal_r1[2]  <= #TCQ 1'b1;
764
        else if (~pi_dqs_found_any_bank_r[2] && ~pi_dqs_found_all_bank[2])
765
          pi_rst_stg1_cal_r1[2]  <= #TCQ 1'b0;
766
      end
767
 
768
      //*****************************************************************************
769
      // Retry counter to track number of DQSFOUND retries
770
      //*****************************************************************************
771
 
772
      always @(posedge clk) begin
773
        if (rst || rank_done_r)
774
          retry_cnt[0+:10] <= #TCQ 'b0;
775
        else if ((rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
776
                 ~pi_dqs_found_all_bank[0])
777
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10] + 1;
778
        else
779
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10];
780
      end
781
 
782
          always @(posedge clk) begin
783
        if (rst || rank_done_r)
784
          retry_cnt[10+:10] <= #TCQ 'b0;
785
        else if ((rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
786
                 ~pi_dqs_found_all_bank[1])
787
          retry_cnt[10+:10] <= #TCQ retry_cnt[10+:10] + 1;
788
        else
789
          retry_cnt[10+:10] <= #TCQ retry_cnt[10+:10];
790
      end
791
 
792
          always @(posedge clk) begin
793
        if (rst || rank_done_r)
794
          retry_cnt[20+:10] <= #TCQ 'b0;
795
        else if ((rd_byte_data_offset[rnk_cnt_r][12+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
796
                 ~pi_dqs_found_all_bank[2])
797
          retry_cnt[20+:10] <= #TCQ retry_cnt[20+:10] + 1;
798
        else
799
          retry_cnt[20+:10] <= #TCQ retry_cnt[20+:10];
800
      end
801
 
802
      // Error generation in case pi_dqs_found_all_bank
803
      // is not asserted
804
      always @(posedge clk) begin
805
        if (rst)
806
          pi_dqs_found_err_r[0] <= #TCQ 1'b0;
807
        else if (~pi_dqs_found_all_bank[0] && (retry_cnt[0+:10] == NUM_DQSFOUND_CAL) &&
808
                (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
809
          pi_dqs_found_err_r[0] <= #TCQ 1'b1;
810
      end
811
 
812
      always @(posedge clk) begin
813
        if (rst)
814
          pi_dqs_found_err_r[1] <= #TCQ 1'b0;
815
        else if (~pi_dqs_found_all_bank[1] && (retry_cnt[10+:10] == NUM_DQSFOUND_CAL) &&
816
                (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
817
          pi_dqs_found_err_r[1] <= #TCQ 1'b1;
818
      end
819
 
820
      always @(posedge clk) begin
821
        if (rst)
822
          pi_dqs_found_err_r[2] <= #TCQ 1'b0;
823
        else if (~pi_dqs_found_all_bank[2] && (retry_cnt[20+:10] == NUM_DQSFOUND_CAL) &&
824
                (rd_byte_data_offset[rnk_cnt_r][12+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
825
          pi_dqs_found_err_r[2] <= #TCQ 1'b1;
826
      end
827
 
828
      // Read data offset value for all DQS in a Bank
829
      always @(posedge clk) begin
830
        if (rst) begin
831
          for (q = 0; q < RANKS; q = q + 1) begin: three_bank0_rst_loop
832
            rd_byte_data_offset[q][0+:6] <= #TCQ nCL + nAL - 2;
833
          end
834
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
835
                             (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
836
            rd_byte_data_offset[rnk_cnt_r][0+:6] <= #TCQ nCL + nAL - 2;
837
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[0] &&
838
                 //(rd_byte_data_offset[rnk_cnt_r][0+:6] < (nCL + nAL + LATENCY_FACTOR)) &&
839
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
840
          rd_byte_data_offset[rnk_cnt_r][0+:6]
841
          <= #TCQ rd_byte_data_offset[rnk_cnt_r][0+:6] + 1;
842
      end
843
 
844
      always @(posedge clk) begin
845
        if (rst) begin
846
          for (r = 0; r < RANKS; r = r + 1) begin: three_bank1_rst_loop
847
            rd_byte_data_offset[r][6+:6] <= #TCQ nCL + nAL - 2;
848
          end
849
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
850
                             (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
851
            rd_byte_data_offset[rnk_cnt_r][6+:6] <= #TCQ nCL + nAL - 2;
852
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[1] &&
853
                 //(rd_byte_data_offset[rnk_cnt_r][6+:6] < (nCL + nAL + LATENCY_FACTOR)) &&
854
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
855
          rd_byte_data_offset[rnk_cnt_r][6+:6]
856
          <= #TCQ rd_byte_data_offset[rnk_cnt_r][6+:6] + 1;
857
      end
858
 
859
      always @(posedge clk) begin
860
        if (rst) begin
861
          for (s = 0; s < RANKS; s = s + 1) begin: three_bank2_rst_loop
862
            rd_byte_data_offset[s][12+:6] <= #TCQ nCL + nAL - 2;
863
          end
864
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
865
                             (rd_byte_data_offset[rnk_cnt_r][12+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
866
            rd_byte_data_offset[rnk_cnt_r][12+:6] <= #TCQ nCL + nAL - 2;
867
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[2] &&
868
                 //(rd_byte_data_offset[rnk_cnt_r][12+:6] < (nCL + nAL + LATENCY_FACTOR)) &&
869
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
870
          rd_byte_data_offset[rnk_cnt_r][12+:6]
871
          <= #TCQ rd_byte_data_offset[rnk_cnt_r][12+:6] + 1;
872
      end
873
 
874
//*****************************************************************************
875
// Two I/O Bank Interface
876
//*****************************************************************************
877
    end else if (HIGHEST_BANK == 2) begin  // Two I/O Bank interface
878
 
879
      // Reset read data offset calibration in all DQS Phaser_INs
880
      // in a Bank after the read data offset value for a rank is determined
881
      // or if within a Bank DQSFOUND is not asserted for all DQSs
882
      always @(posedge clk) begin
883
        if (rst || pi_rst_stg1_cal_r1[0] || fine_adjust)
884
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b0;
885
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
886
                 //(dqsfound_retry[0]) ||
887
                 (pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0]) ||
888
                 (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
889
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b1;
890
      end
891
 
892
      always @(posedge clk) begin
893
        if (rst || pi_rst_stg1_cal_r1[1] || fine_adjust)
894
          pi_rst_stg1_cal_r[1] <= #TCQ 1'b0;
895
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
896
                 //(dqsfound_retry[1]) ||
897
                 (pi_dqs_found_any_bank_r[1] && ~pi_dqs_found_all_bank[1]) ||
898
                 (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
899
          pi_rst_stg1_cal_r[1] <= #TCQ 1'b1;
900
      end
901
 
902
      always @(posedge clk) begin
903
        if (rst || fine_adjust)
904
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
905
        else if (pi_rst_stg1_cal_r[0])
906
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b1;
907
        else if (~pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0])
908
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
909
      end
910
 
911
      always @(posedge clk) begin
912
        if (rst || fine_adjust)
913
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b0;
914
        else if (pi_rst_stg1_cal_r[1])
915
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b1;
916
        else if (~pi_dqs_found_any_bank_r[1] && ~pi_dqs_found_all_bank[1])
917
          pi_rst_stg1_cal_r1[1]  <= #TCQ 1'b0;
918
      end
919
 
920
      //*****************************************************************************
921
      // Retry counter to track number of DQSFOUND retries
922
      //*****************************************************************************
923
 
924
      always @(posedge clk) begin
925
        if (rst || rank_done_r)
926
          retry_cnt[0+:10] <= #TCQ 'b0;
927
        else if ((rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
928
                 ~pi_dqs_found_all_bank[0])
929
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10] + 1;
930
        else
931
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10];
932
      end
933
 
934
          always @(posedge clk) begin
935
        if (rst || rank_done_r)
936
          retry_cnt[10+:10] <= #TCQ 'b0;
937
        else if ((rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
938
                 ~pi_dqs_found_all_bank[1])
939
          retry_cnt[10+:10] <= #TCQ retry_cnt[10+:10] + 1;
940
        else
941
          retry_cnt[10+:10] <= #TCQ retry_cnt[10+:10];
942
      end
943
 
944
 
945
      // Error generation in case pi_dqs_found_all_bank
946
      // is not asserted
947
      always @(posedge clk) begin
948
        if (rst)
949
          pi_dqs_found_err_r[0] <= #TCQ 1'b0;
950
        else if (~pi_dqs_found_all_bank[0] && (retry_cnt[0+:10] == NUM_DQSFOUND_CAL) &&
951
                (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
952
          pi_dqs_found_err_r[0] <= #TCQ 1'b1;
953
      end
954
 
955
      always @(posedge clk) begin
956
        if (rst)
957
          pi_dqs_found_err_r[1] <= #TCQ 1'b0;
958
        else if (~pi_dqs_found_all_bank[1] && (retry_cnt[10+:10] == NUM_DQSFOUND_CAL) &&
959
                (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
960
          pi_dqs_found_err_r[1] <= #TCQ 1'b1;
961
      end
962
 
963
 
964
      // Read data offset value for all DQS in a Bank
965
      always @(posedge clk) begin
966
        if (rst) begin
967
          for (q = 0; q < RANKS; q = q + 1) begin: two_bank0_rst_loop
968
            rd_byte_data_offset[q][0+:6] <= #TCQ nCL + nAL - 2;
969
          end
970
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
971
                             (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
972
            rd_byte_data_offset[rnk_cnt_r][0+:6] <= #TCQ nCL + nAL - 2;
973
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[0] &&
974
                 //(rd_byte_data_offset[rnk_cnt_r][0+:6] < (nCL + nAL + LATENCY_FACTOR)) &&
975
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
976
          rd_byte_data_offset[rnk_cnt_r][0+:6]
977
          <= #TCQ rd_byte_data_offset[rnk_cnt_r][0+:6] + 1;
978
      end
979
 
980
      always @(posedge clk) begin
981
        if (rst) begin
982
          for (r = 0; r < RANKS; r = r + 1) begin: two_bank1_rst_loop
983
            rd_byte_data_offset[r][6+:6] <= #TCQ nCL + nAL - 2;
984
          end
985
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
986
                             (rd_byte_data_offset[rnk_cnt_r][6+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
987
            rd_byte_data_offset[rnk_cnt_r][6+:6] <= #TCQ nCL + nAL - 2;
988
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[1] &&
989
                 //(rd_byte_data_offset[rnk_cnt_r][6+:6] < (nCL + nAL + LATENCY_FACTOR)) &&
990
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
991
          rd_byte_data_offset[rnk_cnt_r][6+:6]
992
          <= #TCQ rd_byte_data_offset[rnk_cnt_r][6+:6] + 1;
993
      end
994
//*****************************************************************************
995
// One I/O Bank Interface
996
//*****************************************************************************
997
    end else begin // One I/O Bank Interface
998
 
999
      // Read data offset value for all DQS in Bank0
1000
      always @(posedge clk) begin
1001
        if (rst) begin
1002
          for (l = 0; l < RANKS; l = l + 1) begin: bank_rst_loop
1003
            rd_byte_data_offset[l] <= #TCQ nCL + nAL - 2;
1004
          end
1005
        end else if ((rank_done_r1 && ~init_dqsfound_done_r) ||
1006
                             (rd_byte_data_offset[rnk_cnt_r] > (nCL + nAL + LATENCY_FACTOR - 1)))
1007
          rd_byte_data_offset[rnk_cnt_r] <= #TCQ nCL + nAL - 2;
1008
        else if (dqs_found_start_r && ~pi_dqs_found_all_bank[0] &&
1009
                 //(rd_byte_data_offset[rnk_cnt_r] < (nCL + nAL + LATENCY_FACTOR)) &&
1010
                 (detect_pi_found_dqs && (detect_rd_cnt == 'd1)) && ~init_dqsfound_done_r && ~fine_adjust)
1011
          rd_byte_data_offset[rnk_cnt_r]
1012
          <= #TCQ rd_byte_data_offset[rnk_cnt_r] + 1;
1013
      end
1014
 
1015
      // Reset read data offset calibration in all DQS Phaser_INs
1016
      // in a Bank after the read data offset value for a rank is determined
1017
      // or if within a Bank DQSFOUND is not asserted for all DQSs
1018
       always @(posedge clk) begin
1019
        if (rst || pi_rst_stg1_cal_r1[0] || fine_adjust)
1020
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b0;
1021
        else if ((pi_dqs_found_start && ~dqs_found_start_r) ||
1022
                 //(dqsfound_retry[0]) ||
1023
                 (pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0]) ||
1024
                 (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
1025
          pi_rst_stg1_cal_r[0] <= #TCQ 1'b1;
1026
      end
1027
 
1028
      always @(posedge clk) begin
1029
        if (rst || fine_adjust)
1030
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
1031
        else if (pi_rst_stg1_cal_r[0])
1032
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b1;
1033
        else if (~pi_dqs_found_any_bank_r[0] && ~pi_dqs_found_all_bank[0])
1034
          pi_rst_stg1_cal_r1[0]  <= #TCQ 1'b0;
1035
      end
1036
 
1037
      //*****************************************************************************
1038
      // Retry counter to track number of DQSFOUND retries
1039
      //*****************************************************************************
1040
 
1041
      always @(posedge clk) begin
1042
        if (rst || rank_done_r)
1043
          retry_cnt[0+:10] <= #TCQ 'b0;
1044
        else if ((rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)) &&
1045
                 ~pi_dqs_found_all_bank[0])
1046
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10] + 1;
1047
        else
1048
          retry_cnt[0+:10] <= #TCQ retry_cnt[0+:10];
1049
      end
1050
 
1051
 
1052
      // Error generation in case pi_dqs_found_all_bank
1053
      // is not asserted even with 3 dqfound retries
1054
       always @(posedge clk) begin
1055
        if (rst)
1056
          pi_dqs_found_err_r[0] <= #TCQ 1'b0;
1057
        else if (~pi_dqs_found_all_bank[0] && (retry_cnt[0+:10] == NUM_DQSFOUND_CAL) &&
1058
                (rd_byte_data_offset[rnk_cnt_r][0+:6] > (nCL + nAL + LATENCY_FACTOR - 1)))
1059
          pi_dqs_found_err_r[0] <= #TCQ 1'b1;
1060
      end
1061
 
1062
    end
1063
  endgenerate
1064
 
1065
  always @(posedge clk) begin
1066
    if (rst)
1067
      pi_rst_stg1_cal <= #TCQ {HIGHEST_BANK{1'b0}};
1068
    else if (rst_dqs_find)
1069
      pi_rst_stg1_cal <= #TCQ {HIGHEST_BANK{1'b1}};
1070
    else
1071
      pi_rst_stg1_cal <= #TCQ pi_rst_stg1_cal_r;
1072
  end
1073
 
1074
 
1075
 
1076
  // Final read data offset value to be used during write calibration and
1077
  // normal operation
1078
  generate
1079
  genvar i;
1080
  genvar j;
1081
    for (i = 0; i < RANKS; i = i + 1) begin: rank_final_loop
1082
       reg [5:0] final_do_cand [RANKS-1:0];
1083
       // combinatorially select the candidate offset for the bank
1084
       //  indexed by final_do_index
1085
       if (HIGHEST_BANK == 3) begin
1086
         always @(*) begin
1087
            case (final_do_index[i])
1088
              3'b000:  final_do_cand[i]  = final_data_offset[i][5:0];
1089
              3'b001:  final_do_cand[i]  = final_data_offset[i][11:6];
1090
              3'b010:  final_do_cand[i]  = final_data_offset[i][17:12];
1091
              default: final_do_cand[i]  = 'd0;
1092
            endcase
1093
         end
1094
       end else if (HIGHEST_BANK == 2) begin
1095
         always @(*) begin
1096
            case (final_do_index[i])
1097
              3'b000:  final_do_cand[i]  = final_data_offset[i][5:0];
1098
              3'b001:  final_do_cand[i]  = final_data_offset[i][11:6];
1099
              3'b010:  final_do_cand[i]  = 'd0;
1100
              default: final_do_cand[i]  = 'd0;
1101
            endcase
1102
         end
1103
       end else begin
1104
         always @(*) begin
1105
            case (final_do_index[i])
1106
              3'b000:  final_do_cand[i]  = final_data_offset[i][5:0];
1107
              3'b001:  final_do_cand[i]  = 'd0;
1108
              3'b010:  final_do_cand[i]  = 'd0;
1109
              default: final_do_cand[i]  = 'd0;
1110
            endcase
1111
         end
1112
       end
1113
 
1114
       always @(posedge clk)  begin
1115
          if (rst)
1116
              final_do_max[i] <= #TCQ 0;
1117
          else begin
1118
             final_do_max[i] <= #TCQ final_do_max[i]; // default
1119
             case (final_do_index[i])
1120
                3'b000: if ( | DATA_PRESENT[3:0])
1121
                       if (final_do_max[i] < final_do_cand[i])
1122
                         if (CWL_M % 2) // odd latency CAS slot 1
1123
                            final_do_max[i] <= #TCQ final_do_cand[i] - 1;
1124
                         else
1125
                            final_do_max[i] <= #TCQ final_do_cand[i];
1126
                3'b001: if ( | DATA_PRESENT[7:4])
1127
                       if (final_do_max[i] < final_do_cand[i])
1128
                         if (CWL_M % 2) // odd latency CAS slot 1
1129
                            final_do_max[i] <= #TCQ final_do_cand[i] - 1;
1130
                         else
1131
                            final_do_max[i] <= #TCQ final_do_cand[i];
1132
                3'b010: if ( | DATA_PRESENT[11:8])
1133
                       if (final_do_max[i] < final_do_cand[i])
1134
                         if (CWL_M % 2) // odd latency CAS slot 1
1135
                            final_do_max[i] <= #TCQ final_do_cand[i] - 1;
1136
                         else
1137
                            final_do_max[i] <= #TCQ final_do_cand[i];
1138
                default:
1139
                       final_do_max[i] <= #TCQ final_do_max[i];
1140
              endcase
1141
           end
1142
        end
1143
 
1144
        always @(posedge clk)
1145
            if (rst) begin
1146
               final_do_index[i] <= #TCQ 0;
1147
            end
1148
            else begin
1149
               final_do_index[i] <= #TCQ final_do_index[i] + 1;
1150
            end
1151
 
1152
      for (j = 0; j < HIGHEST_BANK; j = j + 1) begin: bank_final_loop
1153
 
1154
        always @(posedge clk) begin
1155
          if (rst) begin
1156
            final_data_offset[i][6*j+:6] <= #TCQ 'b0;
1157
          end
1158
          else begin
1159
          //if (dqsfound_retry[j])
1160
           // final_data_offset[i][6*j+:6] <= #TCQ rd_byte_data_offset[i][6*j+:6];
1161
          //else 
1162
          if (init_dqsfound_done_r && ~init_dqsfound_done_r1) begin
1163
            if ( DATA_PRESENT [ j*4+:4] != 0) begin // has a data lane
1164
               final_data_offset[i][6*j+:6] <= #TCQ rd_byte_data_offset[i][6*j+:6];
1165
               if (CWL_M % 2) // odd latency CAS slot 1
1166
                 final_data_offset_mc[i][6*j+:6] <= #TCQ rd_byte_data_offset[i][6*j+:6] - 1;
1167
               else // even latency CAS slot 0
1168
                 final_data_offset_mc[i][6*j+:6] <= #TCQ rd_byte_data_offset[i][6*j+:6];
1169
            end
1170
          end
1171
          else if (init_dqsfound_done_r5 ) begin
1172
               if ( DATA_PRESENT [ j*4+:4] == 0) begin // all control lanes
1173
                  final_data_offset[i][6*j+:6] <= #TCQ final_do_max[i];
1174
                  final_data_offset_mc[i][6*j+:6] <= #TCQ final_do_max[i];
1175
               end
1176
          end
1177
          end
1178
        end
1179
      end
1180
    end
1181
  endgenerate
1182
 
1183
 
1184
  // Error generation in case pi_found_dqs signal from Phaser_IN
1185
  // is not asserted when a common rddata_offset value is used
1186
 
1187
  always @(posedge clk) begin
1188
    pi_dqs_found_err    <= #TCQ |pi_dqs_found_err_r;
1189
  end
1190
 
1191
 
1192
 
1193
endmodule
1194
 
1195
 
1196
 
1197
 
1198
 
1199
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.