OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.16/] [memfifo.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# IFCLK
2
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
3
set_property PACKAGE_PIN J19 [get_ports ifclk_in]
4
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
5
 
6
# GPIO
7
set_property PACKAGE_PIN M22 [get_ports {gpio_clk}]             ;# PA0/INT0#
8
set_property PACKAGE_PIN M21 [get_ports {gpio_dir}]             ;# PA1/INT1#
9
set_property PACKAGE_PIN M18 [get_ports {gpio_dat}]             ;# PA3/WU2
10
set_property PULLUP true [get_ports {gpio_dat}]
11
set_property IOSTANDARD LVCMOS33 [get_ports {gpio_*}]
12
 
13
# reset
14
set_property PACKAGE_PIN R18 [get_ports {reset}]                ;# PA7/FLAGD/SLCS#
15
set_property IOSTANDARD LVCMOS33 [get_ports {reset}]
16
 
17
# SW8
18
set_property PACKAGE_PIN D17 [get_ports {SW8}]                  ;# B11 / D17~IO_L12P_T1_MRCC_16
19
set_property IOSTANDARD LVCMOS33 [get_ports {SW8}]
20
set_property PULLUP true [get_ports {SW8}]
21
 
22
# led1
23
set_property PACKAGE_PIN B21 [get_ports {led1[0]}]              ;# A6 / B21~IO_L21P_T3_DQS_16
24
set_property PACKAGE_PIN A21 [get_ports {led1[1]}]              ;# B6 / A21~IO_L21N_T3_DQS_16
25
set_property PACKAGE_PIN D20 [get_ports {led1[2]}]              ;# A7 / D20~IO_L19P_T3_16
26
set_property PACKAGE_PIN C20 [get_ports {led1[3]}]              ;# B7 / C20~IO_L19N_T3_VREF_16
27
set_property PACKAGE_PIN B20 [get_ports {led1[4]}]              ;# A8 / B20~IO_L16P_T2_16
28
set_property PACKAGE_PIN A20 [get_ports {led1[5]}]              ;# B8 / A20~IO_L16N_T2_16
29
set_property PACKAGE_PIN C19 [get_ports {led1[6]}]              ;# A9 / C19~IO_L13N_T2_MRCC_16
30
set_property PACKAGE_PIN A19 [get_ports {led1[7]}]              ;# B9 / A19~IO_L17N_T2_16
31
set_property PACKAGE_PIN C18 [get_ports {led1[8]}]              ;# A10 / C18~IO_L13P_T2_MRCC_16
32
set_property PACKAGE_PIN A18 [get_ports {led1[9]}]              ;# B10 / A18~IO_L17P_T2_16
33
set_property IOSTANDARD LVCMOS33 [get_ports {led1[*]}]
34
set_property DRIVE 12 [get_ports {led1[*]}]
35
 
36
# FD
37
set_property PACKAGE_PIN P20 [get_ports {fd[0]}]                ;# PB0/FD0
38
set_property PACKAGE_PIN N17 [get_ports {fd[1]}]                ;# PB1/FD1
39
set_property PACKAGE_PIN P21 [get_ports {fd[2]}]                ;# PB2/FD2
40
set_property PACKAGE_PIN R21 [get_ports {fd[3]}]                ;# PB3/FD3
41
set_property PACKAGE_PIN T21 [get_ports {fd[4]}]                ;# PB4/FD4
42
set_property PACKAGE_PIN U21 [get_ports {fd[5]}]                ;# PB5/FD5
43
set_property PACKAGE_PIN P19 [get_ports {fd[6]}]                ;# PB6/FD6
44
set_property PACKAGE_PIN R19 [get_ports {fd[7]}]                ;# PB7/FD7
45
set_property PACKAGE_PIN T20 [get_ports {fd[8]}]                ;# PD0/FD8
46
set_property PACKAGE_PIN U20 [get_ports {fd[9]}]                ;# PD1/FD9
47
set_property PACKAGE_PIN U18 [get_ports {fd[10]}]               ;# PD2/FD10
48
set_property PACKAGE_PIN U17 [get_ports {fd[11]}]               ;# PD3/FD11
49
set_property PACKAGE_PIN W19 [get_ports {fd[12]}]               ;# PD4/FD12
50
set_property PACKAGE_PIN W20 [get_ports {fd[13]}]               ;# PD5/FD13
51
set_property PACKAGE_PIN W21 [get_ports {fd[14]}]               ;# PD6/FD14
52
set_property PACKAGE_PIN W22 [get_ports {fd[15]}]               ;# PD7/FD15
53
set_property IOSTANDARD LVCMOS33 [get_ports {fd[*]}]
54
 
55
# SLRD
56
set_property PACKAGE_PIN AB22 [get_ports {SLRD}]                ;# RDY0/SLRD
57
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
58
# SLWR
59
set_property PACKAGE_PIN AB21 [get_ports {SLWR}]                ;# RDY1/SLWR
60
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
61
 
62
# FLAGA
63
set_property PACKAGE_PIN K19 [get_ports {FLAGA}]                ;# CTL0/FLAGA
64
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
65
# FLAGB
66
set_property PACKAGE_PIN K18 [get_ports {FLAGB}]                ;# CTL1/FLAGB
67
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
68
 
69
# SLOE
70
set_property PACKAGE_PIN M20 [get_ports {SLOE}]                 ;# PA2/SLOE
71
set_property IOSTANDARD LVCMOS33 [get_ports {SLOE}]
72
# FIFOADDR0
73
set_property PACKAGE_PIN N19 [get_ports {FIFOADDR0} ]           ;# PA4/FIFOADR0
74
set_property IOSTANDARD LVCMOS33 [get_ports {FIFOADDR0} ]
75
# FIFOADDR1
76
set_property PACKAGE_PIN N18 [get_ports {FIFOADDR1}]            ;# PA5/FIFOADR1
77
set_property IOSTANDARD LVCMOS33 [get_ports {FIFOADDR1}]
78
# PKTEND
79
set_property PACKAGE_PIN P17 [get_ports {PKTEND}]               ;# PA6/PKTEND
80
set_property IOSTANDARD LVCMOS33 [get_ports {PKTEND}]
81
 
82
 
83
# bitstream settings for all ZTEX Series 2 FPGA Boards
84
set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
85
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR No [current_design]
86
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 2 [current_design]
87
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]
88
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.