OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [memfifo/] [fpga-2.18/] [memfifo.srcs/] [sources_1/] [ip/] [mig_7series_0/] [mig_7series_0/] [user_design/] [rtl/] [phy/] [mig_7series_v2_3_ddr_prbs_gen.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
//*****************************************************************************
2
// (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved.
3
//
4
// This file contains confidential and proprietary information
5
// of Xilinx, Inc. and is protected under U.S. and
6
// international copyright and other intellectual property
7
// laws.
8
//
9
// DISCLAIMER
10
// This disclaimer is not a license and does not grant any
11
// rights to the materials distributed herewith. Except as
12
// otherwise provided in a valid license issued to you by
13
// Xilinx, and to the maximum extent permitted by applicable
14
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19
// (2) Xilinx shall not be liable (whether in contract or tort,
20
// including negligence, or under any other theory of
21
// liability) for any loss or damage of any kind or nature
22
// related to, arising under or in connection with these
23
// materials, including for any direct, or any indirect,
24
// special, incidental, or consequential loss or damage
25
// (including loss of data, profits, goodwill, or any type of
26
// loss or damage suffered as a result of any action brought
27
// by a third party) even if such damage or loss was
28
// reasonably foreseeable or Xilinx had been advised of the
29
// possibility of the same.
30
//
31
// CRITICAL APPLICATIONS
32
// Xilinx products are not designed or intended to be fail-
33
// safe, or for use in any application requiring fail-safe
34
// performance, such as life-support or safety devices or
35
// systems, Class III medical devices, nuclear facilities,
36
// applications related to the deployment of airbags, or any
37
// other applications that could lead to death, personal
38
// injury, or severe property or environmental damage
39
// (individually and collectively, "Critical
40
// Applications"). Customer assumes the sole risk and
41
// liability of any use of Xilinx products in Critical
42
// Applications, subject only to applicable laws and
43
// regulations governing limitations on product liability.
44
//
45
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46
// PART OF THIS FILE AT ALL TIMES.
47
// 
48
//*****************************************************************************
49
//   ____  ____
50
//  /   /\/   /
51
// /___/  \  /    Vendor: Xilinx
52
// \   \   \/     Version: %version
53
//  \   \         Application: MIG
54
//  /   /         Filename: ddr_prbs_gen.v
55
// /___/   /\     Date Last Modified: $Date: 2011/06/02 08:35:10 $
56
// \   \  /  \    Date Created: 05/12/10
57
//  \___\/\___\
58
//
59
//Device: 7 Series
60
//Design Name: ddr_prbs_gen
61
// Overview:
62
//  Implements a "pseudo-PRBS" generator. Basically this is a standard
63
//  PRBS generator (using an linear feedback shift register) along with
64
//  logic to force the repetition of the sequence after 2^PRBS_WIDTH
65
//  samples (instead of 2^PRBS_WIDTH - 1). The LFSR is based on the design
66
//  from Table 1 of XAPP 210. Note that only 8- and 10-tap long LFSR chains
67
//  are supported in this code
68
// Parameter Requirements:
69
//  1. PRBS_WIDTH = 8 or 10
70
//  2. PRBS_WIDTH >= 2*nCK_PER_CLK
71
// Output notes:
72
//  The output of this module consists of 2*nCK_PER_CLK bits, these contain
73
//  the value of the LFSR output for the next 2*CK_PER_CLK bit times. Note
74
//  that prbs_o[0] contains the bit value for the "earliest" bit time. 
75
//
76
//Reference:
77
//Revision History:
78
// 
79
//*****************************************************************************
80
 
81
/******************************************************************************
82
**$Id: ddr_prbs_gen.v,v 1.1 2011/06/02 08:35:10 mishra Exp $
83
**$Date: 2011/06/02 08:35:10 $
84
**$Author: mishra $
85
**$Revision: 1.1 $
86
**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_7series_v1_3/data/dlib/7series/ddr3_sdram/verilog/rtl/phy/ddr_prbs_gen.v,v $
87
******************************************************************************/
88
 
89
 
90
`timescale 1ps/1ps
91
 
92
module mig_7series_v2_3_ddr_prbs_gen #
93
  (
94
   parameter TCQ           = 100,        // clk->out delay (sim only)
95
   parameter PRBS_WIDTH    = 64,         // LFSR shift register length
96
   parameter DQS_CNT_WIDTH = 5,
97
   parameter DQ_WIDTH      = 72,
98
   parameter VCCO_PAT_EN   = 1,
99
   parameter VCCAUX_PAT_EN = 1,
100
   parameter ISI_PAT_EN    = 1,
101
   parameter FIXED_VICTIM  = "TRUE"
102
   )
103
  (
104
   input                      clk_i,          // input clock
105
   input                      clk_en_i,       // clock enable 
106
   input                      rst_i,          // synchronous reset
107
   input [PRBS_WIDTH-1:0]     prbs_seed_i,    // initial LFSR seed
108
   input                      phy_if_empty,   // IN_FIFO empty flag
109
   input                      prbs_rdlvl_start, // PRBS read lveling start
110
   input                      prbs_rdlvl_done,
111
   input                      complex_wr_done,
112
   input [2:0]                victim_sel,
113
   input [DQS_CNT_WIDTH:0]    byte_cnt,
114
   //output [PRBS_WIDTH-1:0]    prbs_o // generated pseudo random data
115
   output [8*DQ_WIDTH-1:0]    prbs_o,
116
   output [9:0]               dbg_prbs_gen,
117
   input                      reset_rd_addr,
118
   output                     prbs_ignore_first_byte,
119
   output                     prbs_ignore_last_bytes
120
  );
121
 
122
  //***************************************************************************
123
 
124
  function integer clogb2 (input integer size);
125
    begin
126
      size = size - 1;
127
      for (clogb2=1; size>1; clogb2=clogb2+1)
128
        size = size >> 1;
129
    end
130
  endfunction
131
 
132
  // Number of internal clock cycles before the PRBS sequence will repeat
133
  localparam PRBS_SEQ_LEN_CYCLES = 128;
134
  localparam PRBS_SEQ_LEN_CYCLES_BITS = clogb2(PRBS_SEQ_LEN_CYCLES);
135
 
136
  reg                                 phy_if_empty_r;
137
  reg                                 reseed_prbs_r;
138
  reg [PRBS_SEQ_LEN_CYCLES_BITS-1:0]  sample_cnt_r;
139
  reg [PRBS_WIDTH - 1 :0]             prbs;
140
  reg [PRBS_WIDTH :1]                 lfsr_q;
141
 
142
 
143
  //***************************************************************************
144
  always @(posedge clk_i) begin
145
    phy_if_empty_r <= #TCQ phy_if_empty;
146
  end
147
 
148
  //***************************************************************************
149
  // Generate PRBS reset signal to ensure that PRBS sequence repeats after
150
  // every 2**PRBS_WIDTH samples. Basically what happens is that we let the
151
  // LFSR run for an extra cycle after "truly PRBS" 2**PRBS_WIDTH - 1
152
  // samples have past. Once that extra cycle is finished, we reseed the LFSR
153
  always @(posedge clk_i)
154
  begin
155
    if (rst_i || ~clk_en_i) begin
156
      sample_cnt_r    <= #TCQ 'b0;
157
      reseed_prbs_r   <= #TCQ 1'b0;
158
    end else if (clk_en_i && (~phy_if_empty_r || ~prbs_rdlvl_start)) begin
159
      // The rollver count should always be [(power of 2) - 1]
160
      sample_cnt_r    <= #TCQ sample_cnt_r + 1;
161
      // Assert PRBS reset signal so that it is simultaneously with the
162
      // last sample of the sequence
163
      if (sample_cnt_r == PRBS_SEQ_LEN_CYCLES - 2)
164
        reseed_prbs_r <= #TCQ 1'b1;
165
      else
166
        reseed_prbs_r <= #TCQ 1'b0;
167
    end
168
  end
169
 
170
  always @ (posedge clk_i)
171
  begin
172
//reset it to a known good state to prevent it locks up
173
    if ((reseed_prbs_r && clk_en_i) || rst_i || ~clk_en_i) begin
174
      lfsr_q[4:1]          <= #TCQ prbs_seed_i[3:0] | 4'h5;
175
      lfsr_q[PRBS_WIDTH:5] <= #TCQ prbs_seed_i[PRBS_WIDTH-1:4];
176
    end
177
    else if (clk_en_i && (~phy_if_empty_r || ~prbs_rdlvl_start)) begin
178
      lfsr_q[PRBS_WIDTH:31] <= #TCQ lfsr_q[PRBS_WIDTH-1:30];
179
      lfsr_q[30]            <= #TCQ lfsr_q[16] ^ lfsr_q[13] ^ lfsr_q[5]  ^ lfsr_q[1];
180
      lfsr_q[29:9]          <= #TCQ lfsr_q[28:8];
181
      lfsr_q[8]             <= #TCQ lfsr_q[32] ^ lfsr_q[7];
182
      lfsr_q[7]             <= #TCQ lfsr_q[32] ^ lfsr_q[6];
183
      lfsr_q[6:4]           <= #TCQ lfsr_q[5:3];
184
      lfsr_q[3]             <= #TCQ lfsr_q[32] ^ lfsr_q[2];
185
      lfsr_q[2]             <= #TCQ lfsr_q[1] ;
186
      lfsr_q[1]             <= #TCQ lfsr_q[32];
187
    end
188
  end
189
 
190
  always @ (lfsr_q[PRBS_WIDTH:1]) begin
191
    prbs = lfsr_q[PRBS_WIDTH:1];
192
  end
193
 
194
//******************************************************************************
195
// Complex pattern BRAM
196
//******************************************************************************
197
 
198
localparam BRAM_ADDR_WIDTH = 8;
199
localparam BRAM_DATA_WIDTH = 18;
200
localparam BRAM_DEPTH      = 256;
201
 
202
integer i;
203
(* RAM_STYLE = "distributed" *) reg [BRAM_ADDR_WIDTH - 1:0]  rd_addr;
204
//reg [BRAM_DATA_WIDTH - 1:0]  mem[0:BRAM_DEPTH - 1]; 
205
reg [BRAM_DATA_WIDTH - 1:0]  mem_out;
206
reg [BRAM_DATA_WIDTH - 3:0]  dout_o;
207
reg [DQ_WIDTH-1:0]           sel;
208
reg [DQ_WIDTH-1:0]           dout_rise0;
209
reg [DQ_WIDTH-1:0]           dout_fall0;
210
reg [DQ_WIDTH-1:0]           dout_rise1;
211
reg [DQ_WIDTH-1:0]           dout_fall1;
212
reg [DQ_WIDTH-1:0]           dout_rise2;
213
reg [DQ_WIDTH-1:0]           dout_fall2;
214
reg [DQ_WIDTH-1:0]           dout_rise3;
215
reg [DQ_WIDTH-1:0]           dout_fall3;
216
 
217
// VCCO noise injection pattern with matching victim (reads with gaps)
218
// content format
219
//        {aggressor pattern, victim pattern}
220
always @ (rd_addr) begin
221
  case (rd_addr)
222
    8'd0    :   mem_out = {2'b11, 8'b10101010,8'b10101010}; //1 read
223
    8'd1    :   mem_out = {2'b01, 8'b11001100,8'b11001100}; //2 reads
224
    8'd2    :   mem_out = {2'b10, 8'b11001100,8'b11001100}; //2 reads
225
    8'd3    :   mem_out = {2'b01, 8'b11100011,8'b11100011}; //3 reads
226
    8'd4    :   mem_out = {2'b00, 8'b10001110,8'b10001110}; //3 reads
227
    8'd5    :   mem_out = {2'b10, 8'b00111000,8'b00111000}; //3 reads
228
    8'd6    :   mem_out = {2'b01, 8'b11110000,8'b11110000}; //4 reads
229
    8'd7    :   mem_out = {2'b00, 8'b11110000,8'b11110000}; //4 reads
230
    8'd8    :   mem_out = {2'b00, 8'b11110000,8'b11110000}; //4 reads
231
    8'd9    :   mem_out = {2'b10, 8'b11110000,8'b11110000}; //4 reads
232
    8'd10   :   mem_out = {2'b01, 8'b11111000,8'b11111000}; //5 reads
233
    8'd11   :   mem_out = {2'b00, 8'b00111110,8'b00111110}; //5 reads
234
    8'd12   :   mem_out = {2'b00, 8'b00001111,8'b00001111}; //5 reads
235
    8'd13   :   mem_out = {2'b00, 8'b10000011,8'b10000011}; //5 reads
236
    8'd14   :   mem_out = {2'b10, 8'b11100000,8'b11100000}; //5 reads
237
    8'd15   :   mem_out = {2'b01, 8'b11111100,8'b11111100}; //6 reads
238
    8'd16   :   mem_out = {2'b00, 8'b00001111,8'b00001111}; //6 reads
239
    8'd17   :   mem_out = {2'b00, 8'b11000000,8'b11000000}; //6 reads
240
    8'd18   :   mem_out = {2'b00, 8'b11111100,8'b11111100}; //6 reads
241
    8'd19   :   mem_out = {2'b00, 8'b00001111,8'b00001111}; //6 reads
242
    8'd20   :   mem_out = {2'b10, 8'b11000000,8'b11000000}; //6 reads
243
     // VCCO noise injection pattern with non-matching victim (reads with gaps)
244
     // content format
245
     //        {aggressor pattern, victim pattern}
246
    8'd21   :   mem_out = {2'b11, 8'b10101010,8'b01010101}; //1 read
247
    8'd22   :   mem_out = {2'b01, 8'b11001100,8'b00110011}; //2 reads
248
    8'd23   :   mem_out = {2'b10, 8'b11001100,8'b00110011}; //2 reads
249
    8'd24   :   mem_out = {2'b01, 8'b11100011,8'b00011100}; //3 reads
250
    8'd25   :   mem_out = {2'b00, 8'b10001110,8'b01110001}; //3 reads
251
    8'd26   :   mem_out = {2'b10, 8'b00111000,8'b11000111}; //3 reads
252
    8'd27   :   mem_out = {2'b01, 8'b11110000,8'b00001111}; //4 reads
253
    8'd28   :   mem_out = {2'b00, 8'b11110000,8'b00001111}; //4 reads
254
    8'd29   :   mem_out = {2'b00, 8'b11110000,8'b00001111}; //4 reads
255
    8'd30   :   mem_out = {2'b10, 8'b11110000,8'b00001111}; //4 reads
256
    8'd31   :   mem_out = {2'b01, 8'b11111000,8'b00000111}; //5 reads
257
    8'd32   :   mem_out = {2'b00, 8'b00111110,8'b11000001}; //5 reads
258
    8'd33   :   mem_out = {2'b00, 8'b00001111,8'b11110000}; //5 reads
259
    8'd34   :   mem_out = {2'b00, 8'b10000011,8'b01111100}; //5 reads
260
    8'd35   :   mem_out = {2'b10, 8'b11100000,8'b00011111}; //5 reads
261
    8'd36   :   mem_out = {2'b01, 8'b11111100,8'b00000011}; //6 reads
262
    8'd37   :   mem_out = {2'b00, 8'b00001111,8'b11110000}; //6 reads
263
    8'd38   :   mem_out = {2'b00, 8'b11000000,8'b00111111}; //6 reads
264
    8'd39   :   mem_out = {2'b00, 8'b11111100,8'b00000011}; //6 reads
265
    8'd40   :   mem_out = {2'b00, 8'b00001111,8'b11110000}; //6 reads
266
    8'd41   :   mem_out = {2'b10, 8'b11000000,8'b00111111}; //6 reads
267
    // VCCAUX noise injection pattern with ISI pattern on victim (reads with gaps)
268
    // content format
269
    //        {aggressor pattern, victim pattern}
270
    8'd42   :   mem_out = {2'b01, 8'b10110100,8'b01010111}; //3 reads
271
    8'd43   :   mem_out = {2'b00, 8'b10110100,8'b01101111}; //3 reads
272
    8'd44   :   mem_out = {2'b10, 8'b10110100,8'b11000000}; //3 reads
273
    8'd45   :   mem_out = {2'b01, 8'b10100010,8'b10000100}; //4 reads
274
    8'd46   :   mem_out = {2'b00, 8'b10001010,8'b00110001}; //4 reads
275
    8'd47   :   mem_out = {2'b00, 8'b00101000,8'b01000111}; //4 reads
276
    8'd48   :   mem_out = {2'b10, 8'b10100010,8'b00100101}; //4 reads
277
    8'd49   :   mem_out = {2'b01, 8'b10101111,8'b10011010}; //5 reads
278
    8'd50   :   mem_out = {2'b00, 8'b01010000,8'b01111010}; //5 reads
279
    8'd51   :   mem_out = {2'b00, 8'b10101111,8'b10010101}; //5 reads
280
    8'd52   :   mem_out = {2'b00, 8'b01010000,8'b11011011}; //5 reads
281
    8'd53   :   mem_out = {2'b10, 8'b10101111,8'b11110000}; //5 reads
282
    8'd54   :   mem_out = {2'b01, 8'b10101000,8'b00100001}; //7 reads
283
    8'd55   :   mem_out = {2'b00, 8'b00101010,8'b10001010}; //7 reads
284
    8'd56   :   mem_out = {2'b00, 8'b00001010,8'b00100101}; //7 reads
285
    8'd57   :   mem_out = {2'b00, 8'b10000010,8'b10011010}; //7 reads
286
    8'd58   :   mem_out = {2'b00, 8'b10100000,8'b01111010}; //7 reads
287
    8'd59   :   mem_out = {2'b00, 8'b10101000,8'b10111111}; //7 reads
288
    8'd60   :   mem_out = {2'b10, 8'b00101010,8'b01010111}; //7 reads
289
    8'd61   :   mem_out = {2'b01, 8'b10101011,8'b01101111}; //8 reads
290
    8'd62   :   mem_out = {2'b00, 8'b11110101,8'b11000000}; //8 reads
291
    8'd63   :   mem_out = {2'b00, 8'b01000000,8'b10000100}; //8 reads
292
    8'd64   :   mem_out = {2'b00, 8'b10101011,8'b00110001}; //8 reads
293
    8'd65   :   mem_out = {2'b00, 8'b11110101,8'b01000111}; //8 reads
294
    8'd66   :   mem_out = {2'b00, 8'b01000000,8'b00100101}; //8 reads
295
    8'd67   :   mem_out = {2'b00, 8'b10101011,8'b10011010}; //8 reads
296
    8'd68   :   mem_out = {2'b10, 8'b11110101,8'b01111010}; //8 reads
297
    8'd69   :   mem_out = {2'b01, 8'b10101010,8'b10010101}; //9 reads
298
    8'd70   :   mem_out = {2'b00, 8'b00000010,8'b11011011}; //9 reads
299
    8'd71   :   mem_out = {2'b00, 8'b10101000,8'b11110000}; //9 reads
300
    8'd72   :   mem_out = {2'b00, 8'b00001010,8'b00100001}; //9 reads
301
    8'd73   :   mem_out = {2'b00, 8'b10100000,8'b10001010}; //9 reads
302
    8'd74   :   mem_out = {2'b00, 8'b00101010,8'b00100101}; //9 reads
303
    8'd75   :   mem_out = {2'b00, 8'b10000000,8'b10011010}; //9 reads
304
    8'd76   :   mem_out = {2'b00, 8'b10101010,8'b01111010}; //9 reads
305
    8'd77   :   mem_out = {2'b10, 8'b00000010,8'b10111111}; //9 reads
306
    8'd78   :   mem_out = {2'b01, 8'b10101010,8'b01010111}; //10 reads
307
    8'd79   :   mem_out = {2'b00, 8'b11111111,8'b01101111}; //10 reads
308
    8'd80   :   mem_out = {2'b00, 8'b01010101,8'b11000000}; //10 reads
309
    8'd81   :   mem_out = {2'b00, 8'b00000000,8'b10000100}; //10 reads
310
    8'd82   :   mem_out = {2'b00, 8'b10101010,8'b00110001}; //10 reads
311
    8'd83   :   mem_out = {2'b00, 8'b11111111,8'b01000111}; //10 reads
312
    8'd84   :   mem_out = {2'b00, 8'b01010101,8'b00100101}; //10 reads
313
    8'd85   :   mem_out = {2'b00, 8'b00000000,8'b10011010}; //10 reads
314
    8'd86   :   mem_out = {2'b00, 8'b10101010,8'b01111010}; //10 reads
315
    8'd87   :   mem_out = {2'b10, 8'b11111111,8'b10010101}; //10 reads
316
    8'd88   :   mem_out = {2'b01, 8'b10101010,8'b11011011}; //12 reads
317
    8'd89   :   mem_out = {2'b00, 8'b10000000,8'b11110000}; //12 reads
318
    8'd90   :   mem_out = {2'b00, 8'b00101010,8'b00100001}; //12 reads
319
    8'd91   :   mem_out = {2'b00, 8'b10100000,8'b10001010}; //12 reads
320
    8'd92   :   mem_out = {2'b00, 8'b00001010,8'b00100101}; //12 reads
321
    8'd93   :   mem_out = {2'b00, 8'b10101000,8'b10011010}; //12 reads
322
    8'd94   :   mem_out = {2'b00, 8'b00000010,8'b01111010}; //12 reads
323
    8'd95   :   mem_out = {2'b00, 8'b10101010,8'b10111111}; //12 reads
324
    8'd96   :   mem_out = {2'b00, 8'b00000000,8'b01010111}; //12 reads
325
    8'd97   :   mem_out = {2'b00, 8'b10101010,8'b01101111}; //12 reads
326
    8'd98   :   mem_out = {2'b00, 8'b10000000,8'b11000000}; //12 reads
327
    8'd99   :   mem_out = {2'b10, 8'b00101010,8'b10000100}; //12 reads
328
    8'd100  :   mem_out = {2'b01, 8'b10101010,8'b00110001}; //13 reads
329
    8'd101  :   mem_out = {2'b00, 8'b10111111,8'b01000111}; //13 reads
330
    8'd102  :   mem_out = {2'b00, 8'b11110101,8'b00100101}; //13 reads
331
    8'd103  :   mem_out = {2'b00, 8'b01010100,8'b10011010}; //13 reads
332
    8'd104  :   mem_out = {2'b00, 8'b00000000,8'b01111010}; //13 reads
333
    8'd105  :   mem_out = {2'b00, 8'b10101010,8'b10010101}; //13 reads
334
    8'd106  :   mem_out = {2'b00, 8'b10111111,8'b11011011}; //13 reads
335
    8'd107  :   mem_out = {2'b00, 8'b11110101,8'b11110000}; //13 reads
336
    8'd108  :   mem_out = {2'b00, 8'b01010100,8'b00100001}; //13 reads
337
    8'd109  :   mem_out = {2'b00, 8'b00000000,8'b10001010}; //13 reads
338
    8'd110  :   mem_out = {2'b00, 8'b10101010,8'b00100101}; //13 reads
339
    8'd111  :   mem_out = {2'b00, 8'b10111111,8'b10011010}; //13 reads
340
    8'd112  :   mem_out = {2'b10, 8'b11110101,8'b01111010}; //13 reads
341
    8'd113  :   mem_out = {2'b01, 8'b10101010,8'b10111111}; //14 reads
342
    8'd114  :   mem_out = {2'b00, 8'b10100000,8'b01010111}; //14 reads
343
    8'd115  :   mem_out = {2'b00, 8'b00000010,8'b01101111}; //14 reads
344
    8'd116  :   mem_out = {2'b00, 8'b10101010,8'b11000000}; //14 reads
345
    8'd117  :   mem_out = {2'b00, 8'b10000000,8'b10000100}; //14 reads
346
    8'd118  :   mem_out = {2'b00, 8'b00001010,8'b00110001}; //14 reads
347
    8'd119  :   mem_out = {2'b00, 8'b10101010,8'b01000111}; //14 reads
348
    8'd120  :   mem_out = {2'b00, 8'b00000000,8'b00100101}; //14 reads
349
    8'd121  :   mem_out = {2'b00, 8'b00101010,8'b10011010}; //14 reads
350
    8'd122  :   mem_out = {2'b00, 8'b10101000,8'b01111010}; //14 reads
351
    8'd123  :   mem_out = {2'b00, 8'b00000000,8'b10010101}; //14 reads
352
    8'd124  :   mem_out = {2'b00, 8'b10101010,8'b11011011}; //14 reads
353
    8'd125  :   mem_out = {2'b00, 8'b10100000,8'b11110000}; //14 reads
354
    8'd126  :   mem_out = {2'b10, 8'b00000010,8'b00100001}; //14 reads
355
    // ISI pattern (Back-to-back reads)
356
    // content format
357
    //        {aggressor pattern, victim pattern}
358
    8'd127  :   mem_out = {2'b01, 8'b01010111,8'b01010111};
359
    8'd128  :   mem_out = {2'b00, 8'b01101111,8'b01101111};
360
    8'd129  :   mem_out = {2'b00, 8'b11000000,8'b11000000};
361
    8'd130  :   mem_out = {2'b00, 8'b10000110,8'b10000100};
362
    8'd131  :   mem_out = {2'b00, 8'b00101000,8'b00110001};
363
    8'd132  :   mem_out = {2'b00, 8'b11100100,8'b01000111};
364
    8'd133  :   mem_out = {2'b00, 8'b10110011,8'b00100101};
365
    8'd134  :   mem_out = {2'b00, 8'b01001111,8'b10011011};
366
    8'd135  :   mem_out = {2'b00, 8'b10110101,8'b01010101};
367
    8'd136  :   mem_out = {2'b00, 8'b10110101,8'b01010101};
368
    8'd137  :   mem_out = {2'b00, 8'b10000111,8'b10011000};
369
    8'd138  :   mem_out = {2'b00, 8'b11100011,8'b00011100};
370
    8'd139  :   mem_out = {2'b00, 8'b00001010,8'b11110101};
371
    8'd140  :   mem_out = {2'b00, 8'b11010100,8'b00101011};
372
    8'd141  :   mem_out = {2'b00, 8'b01001000,8'b10110111};
373
    8'd142  :   mem_out = {2'b00, 8'b00011111,8'b11100000};
374
    8'd143  :   mem_out = {2'b00, 8'b10111100,8'b01000011};
375
    8'd144  :   mem_out = {2'b00, 8'b10001111,8'b00010100};
376
    8'd145  :   mem_out = {2'b00, 8'b10110100,8'b01001011};
377
    8'd146  :   mem_out = {2'b00, 8'b11001011,8'b00110100};
378
    8'd147  :   mem_out = {2'b00, 8'b00001010,8'b11110101};
379
    8'd148  :   mem_out = {2'b00, 8'b10000000,8'b00000000};
380
    //Additional for ISI 
381
    8'd149  :   mem_out = {2'b00, 8'b00000000,8'b00000000};
382
    8'd150  :   mem_out = {2'b00, 8'b01010101,8'b01010101};
383
    8'd151  :   mem_out = {2'b00, 8'b01010101,8'b01010101};
384
    8'd152  :   mem_out = {2'b00, 8'b00000000,8'b00000000};
385
    8'd153  :   mem_out = {2'b00, 8'b00000000,8'b00000000};
386
    8'd154  :   mem_out = {2'b00, 8'b01010101,8'b00101010};
387
    8'd155  :   mem_out = {2'b00, 8'b01010101,8'b10101010};
388
    8'd156  :   mem_out = {2'b10, 8'b00000000,8'b10000000};
389
    //Available
390
    8'd157  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
391
    8'd158  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
392
    8'd159  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
393
    8'd160  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
394
    8'd161  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
395
    8'd162  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
396
    8'd163  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
397
    8'd164  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
398
    8'd165  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
399
    8'd166  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
400
    8'd167  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
401
    8'd168  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
402
    8'd169  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
403
    8'd170  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
404
    8'd171  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
405
    8'd172  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
406
    8'd173  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
407
    8'd174  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
408
    8'd175  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
409
    8'd176  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
410
    8'd177  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
411
    8'd178  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
412
    8'd179  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
413
    8'd180  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
414
    8'd181  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
415
    8'd182  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
416
    8'd183  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
417
    8'd184  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
418
    8'd185  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
419
    8'd186  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
420
    8'd187  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
421
    8'd188  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
422
    8'd189  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
423
    8'd190  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
424
    8'd191  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
425
    8'd192  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
426
    8'd193  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
427
    8'd194  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
428
    8'd195  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
429
    8'd196  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
430
    8'd197  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
431
    8'd198  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
432
    8'd199  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
433
    8'd200  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
434
    8'd201  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
435
    8'd202  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
436
    8'd203  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
437
    8'd204  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
438
    8'd205  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
439
    8'd206  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
440
    8'd207  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
441
    8'd208  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
442
    8'd209  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
443
    8'd210  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
444
    8'd211  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
445
    8'd212  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
446
    8'd213  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
447
    8'd214  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
448
    8'd215  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
449
    8'd216  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
450
    8'd217  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
451
    8'd218  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
452
    8'd219  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
453
    8'd220  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
454
    8'd221  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
455
    8'd222  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
456
    8'd223  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
457
    8'd224  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
458
    8'd225  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
459
    8'd226  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
460
    8'd227  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
461
    8'd228  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
462
    8'd229  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
463
    8'd230  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
464
    8'd231  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
465
    8'd232  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
466
    8'd233  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
467
    8'd234  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
468
    8'd235  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
469
    8'd236  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
470
    8'd237  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
471
    8'd238  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
472
    8'd239  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
473
    8'd240  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
474
    8'd241  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
475
    8'd242  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
476
    8'd243  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
477
    8'd244  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
478
    8'd245  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
479
    8'd246  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
480
    8'd247  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
481
    8'd248  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
482
    8'd249  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
483
    8'd250  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
484
    8'd251  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
485
    8'd252  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
486
    8'd253  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
487
    8'd254  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
488
    8'd255  :   mem_out = {2'b00, 8'b00000001,8'b00000001};
489
  endcase
490
end
491
 
492
 
493
 
494
always @ (posedge clk_i) begin
495
  if (rst_i | reset_rd_addr)
496
    rd_addr <= #TCQ 'b0;
497
  //rd_addr for complex oclkdelay calib
498
  else if (clk_en_i && prbs_rdlvl_done && (~phy_if_empty_r || ~complex_wr_done)) begin
499
    if (rd_addr == 'd156) rd_addr <= #TCQ 'b0;
500
    else rd_addr <= #TCQ rd_addr + 1;
501
  end
502
  //rd_addr for complex rdlvl
503
  else  if (clk_en_i && (~phy_if_empty_r || (~prbs_rdlvl_start && ~complex_wr_done))) begin
504
    if (rd_addr == 'd148) rd_addr <= #TCQ 'b0;
505
    else rd_addr <= #TCQ rd_addr+1;
506
  end
507
 
508
end
509
 
510
// Each pattern can be disabled independently
511
// When disabled zeros are written to and read from the DRAM 
512
always @ (posedge clk_i) begin
513
  if ((rd_addr < 42) && VCCO_PAT_EN)
514
    dout_o <= #TCQ mem_out[BRAM_DATA_WIDTH-3:0];
515
  else if ((rd_addr < 127) && VCCAUX_PAT_EN)
516
    dout_o <= #TCQ mem_out[BRAM_DATA_WIDTH-3:0];
517
  else if (ISI_PAT_EN && (rd_addr > 126))
518
    dout_o <= #TCQ mem_out[BRAM_DATA_WIDTH-3:0];
519
  else
520
    dout_o <= #TCQ 'd0;
521
end
522
 
523
reg prbs_ignore_first_byte_r;
524
always @(posedge clk_i) prbs_ignore_first_byte_r <= #TCQ mem_out[16];
525
assign prbs_ignore_first_byte = prbs_ignore_first_byte_r;
526
 
527
reg prbs_ignore_last_bytes_r;
528
always @(posedge clk_i) prbs_ignore_last_bytes_r <= #TCQ mem_out[17];
529
assign prbs_ignore_last_bytes = prbs_ignore_last_bytes_r;
530
 
531
 
532
 
533
generate
534
  if (FIXED_VICTIM == "TRUE") begin: victim_sel_fixed
535
    // Fixed victim bit 3
536
    always @(posedge clk_i)
537
      sel   <= #TCQ {DQ_WIDTH/8{8'h08}};
538
  end else begin: victim_sel_rotate
539
    // One-hot victim select
540
    always @(posedge clk_i)
541
      if (rst_i)
542
        sel   <= #TCQ 'd0;
543
      else begin
544
            for (i = 0; i < DQ_WIDTH; i = i+1) begin
545
                  if (i == byte_cnt*8+victim_sel)
546
                    sel[i] <= #TCQ 1'b1;
547
                  else
548
                    sel[i] <= #TCQ 1'b0;
549
                end
550
      end
551
  end
552
endgenerate
553
 
554
 
555
 
556
// construct 8 X DATA_WIDTH output bus
557
always @(*)
558
  for (i = 0; i < DQ_WIDTH; i = i+1) begin
559
    dout_rise0[i] = (dout_o[7]&&sel[i] || dout_o[15]&&~sel[i]);
560
    dout_fall0[i] = (dout_o[6]&&sel[i] || dout_o[14]&&~sel[i]);
561
    dout_rise1[i] = (dout_o[5]&&sel[i] || dout_o[13]&&~sel[i]);
562
    dout_fall1[i] = (dout_o[4]&&sel[i] || dout_o[12]&&~sel[i]);
563
    dout_rise2[i] = (dout_o[3]&&sel[i] || dout_o[11]&&~sel[i]);
564
    dout_fall2[i] = (dout_o[2]&&sel[i] || dout_o[10]&&~sel[i]);
565
    dout_rise3[i] = (dout_o[1]&&sel[i] || dout_o[9]&&~sel[i]);
566
    dout_fall3[i] = (dout_o[0]&&sel[i] || dout_o[8]&&~sel[i]);
567
  end
568
 
569
 
570
  assign prbs_o = {dout_fall3, dout_rise3, dout_fall2, dout_rise2, dout_fall1, dout_rise1, dout_fall0, dout_rise0};
571
 
572
  assign dbg_prbs_gen[9] = phy_if_empty_r;
573
  assign dbg_prbs_gen[8] = clk_en_i;
574
  assign dbg_prbs_gen[7:0] = rd_addr[7:0];
575
 
576
endmodule
577
 
578
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.