OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [ucecho/] [fpga-2.13/] [ucecho.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# fxclk_in
2
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
3
set_property PACKAGE_PIN P15 [get_ports fxclk_in]
4
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
5
 
6
# reset_in
7
set_property PACKAGE_PIN T10 [get_ports reset_in]
8
set_property IOSTANDARD LVCMOS33 [get_ports reset_in]
9
set_property PULLUP true [get_ports reset_in]
10
 
11
# LSI
12
set_property PACKAGE_PIN R17 [get_ports {lsi_miso}]             ;# PC0/GPIFADR0
13
set_property PACKAGE_PIN R18 [get_ports {lsi_mosi}]             ;# PC1/GPIFADR1
14
set_property PACKAGE_PIN P18 [get_ports {lsi_clk}]              ;# PC2/GPIFADR2
15
set_property PACKAGE_PIN P14 [get_ports {lsi_stop}]             ;# PC3/GPIFADR3
16
set_property DRIVE 4 [get_ports lsi_miso]
17
set_property IOSTANDARD LVCMOS33 [get_ports lsi_*]
18
 
19
# bitstream settings
20
set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
21
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR No [current_design]
22
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 2 [current_design]
23
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.