OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [examples/] [ucecho/] [fpga-2.16/] [ucecho.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# fxclk_in
2
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
3
set_property PACKAGE_PIN Y18 [get_ports fxclk_in]
4
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
5
 
6
# reset_in
7
set_property PACKAGE_PIN R18 [get_ports reset_in]
8
set_property IOSTANDARD LVCMOS33 [get_ports reset_in]
9
set_property PULLUP true [get_ports reset_in]
10
 
11
# lsi_miso
12
set_property PACKAGE_PIN L20 [get_ports {lsi_miso}]             ;# PC0/GPIFADR0
13
set_property IOSTANDARD LVCMOS33 [get_ports lsi_miso]
14
set_property DRIVE 4 [get_ports lsi_miso]
15
 
16
# lsi_mosi
17
set_property PACKAGE_PIN L19 [get_ports {lsi_mosi}]             ;# PC1/GPIFADR1
18
set_property IOSTANDARD LVCMOS33 [get_ports lsi_mosi]
19
 
20
# lsi_clk
21
set_property PACKAGE_PIN L18 [get_ports {lsi_clk}]              ;# PC2/GPIFADR2
22
set_property IOSTANDARD LVCMOS33 [get_ports lsi_clk]
23
 
24
# lsi_stop
25
set_property PACKAGE_PIN L16 [get_ports {lsi_stop}]             ;# PC3/GPIFADR3
26
set_property IOSTANDARD LVCMOS33 [get_ports lsi_stop]
27
 
28
# bitstream settings
29
set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
30
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR No [current_design]
31
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 2 [current_design]
32
set_property BITSTREAM.GENERAL.COMPRESS true [current_design]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.