OpenCores
URL https://opencores.org/ocsvn/v6502/v6502/trunk

Subversion Repositories v6502

[/] [v6502/] [trunk/] [ar.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 Valerio63
library IEEE;
2
use IEEE.std_logic_1164.all;  -- defines std_logic types
3
use IEEE.STD_LOGIC_unsigned.all;
4
use IEEE.STD_LOGIC_arith.all;
5
 
6
-- 8 bit accumulator register "A"
7
entity ar is
8
  port(   clk:  in STD_LOGIC;
9
           ld:  in STD_LOGIC;
10
        fwait:  in STD_LOGIC;
11
          din:  in STD_LOGIC_VECTOR(7 downto 0);
12
         dout: out STD_LOGIC_VECTOR(7 downto 0)
13
      );
14
end ar;
15
 
16
architecture rtl of ar is
17
signal reg: STD_LOGIC_VECTOR(7 downto 0);
18
begin
19
  process(clk)
20
    begin
21
      if (clk'event and clk = '1') then
22
        if fwait = '1' then
23
          reg <= reg;
24
        else
25
          if ld = '1' then
26
            reg <= din;
27
          else
28
            reg <= reg;
29
          end if;
30
        end if;
31
      end if;
32
  end process;
33
  dout <= reg;
34
end rtl;
35
 
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.