OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_dc_dw.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 unneback
// true dual port RAM, sync
2
 
3
`ifdef ACTEL
4
        `define SYN /*synthesis syn_ramstyle = "no_rw_check"*/
5
`endif
6 12 unneback
module vfifo_dual_port_ram_dc_dw
7 4 unneback
  (
8
   d_a,
9
   q_a,
10
   adr_a,
11
   we_a,
12
   clk_a,
13
   q_b,
14
   adr_b,
15
   d_b,
16
   we_b,
17
   clk_b
18
   );
19 18 unneback
   parameter DATA_WIDTH = 32;
20
   parameter ADDR_WIDTH = 8;
21 4 unneback
   input [(DATA_WIDTH-1):0]      d_a;
22
   input [(ADDR_WIDTH-1):0]       adr_a;
23
   input [(ADDR_WIDTH-1):0]       adr_b;
24
   input                         we_a;
25
   output [(DATA_WIDTH-1):0]      q_b;
26
   input [(DATA_WIDTH-1):0]       d_b;
27
   output reg [(DATA_WIDTH-1):0] q_a;
28
   input                         we_b;
29
   input                         clk_a, clk_b;
30
   reg [(DATA_WIDTH-1):0]         q_b;
31 26 unneback
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] `SYN;
32 4 unneback
   always @ (posedge clk_a)
33
     begin
34 15 unneback
        q_a <= ram[adr_a];
35 4 unneback
        if (we_a)
36
             ram[adr_a] <= d_a;
37
     end
38
   always @ (posedge clk_b)
39
     begin
40 15 unneback
          q_b <= ram[adr_b];
41 4 unneback
        if (we_b)
42 15 unneback
          ram[adr_b] <= d_b;
43 4 unneback
     end
44
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.