OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_dc_sw.v] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 unneback
// true dual port RAM, sync
2
 
3
`ifdef ACTEL
4
        `define SYN /*synthesis syn_ramstyle = "no_rw_check"*/
5
`endif
6 12 unneback
module vfifo_dual_port_ram_dc_sw
7 4 unneback
  (
8
   d_a,
9
   adr_a,
10
   we_a,
11
   clk_a,
12
   q_b,
13
   adr_b,
14
   clk_b
15
   );
16 18 unneback
   parameter DATA_WIDTH = 32;
17
   parameter ADDR_WIDTH = 8;
18 4 unneback
   input [(DATA_WIDTH-1):0]      d_a;
19
   input [(ADDR_WIDTH-1):0]       adr_a;
20
   input [(ADDR_WIDTH-1):0]       adr_b;
21
   input                         we_a;
22
   output [(DATA_WIDTH-1):0]      q_b;
23
   input                         clk_a, clk_b;
24
   reg [(ADDR_WIDTH-1):0]         adr_b_reg;
25 32 marcus.erl
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] `SYN;
26 4 unneback
   always @ (posedge clk_a)
27
   if (we_a)
28
     ram[adr_a] <= d_a;
29
   always @ (posedge clk_b)
30
   adr_b_reg <= adr_b;
31
   assign q_b = ram[adr_b_reg];
32
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.