OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_dc_sw.v] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 unneback
module vfifo_dual_port_ram_dc_sw
2 4 unneback
  (
3
   d_a,
4
   adr_a,
5
   we_a,
6
   clk_a,
7
   q_b,
8
   adr_b,
9
   clk_b
10
   );
11 18 unneback
   parameter DATA_WIDTH = 32;
12
   parameter ADDR_WIDTH = 8;
13 4 unneback
   input [(DATA_WIDTH-1):0]      d_a;
14
   input [(ADDR_WIDTH-1):0]       adr_a;
15
   input [(ADDR_WIDTH-1):0]       adr_b;
16
   input                         we_a;
17
   output [(DATA_WIDTH-1):0]      q_b;
18
   input                         clk_a, clk_b;
19
   reg [(ADDR_WIDTH-1):0]         adr_b_reg;
20 17 unneback
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] ;
21 4 unneback
   always @ (posedge clk_a)
22
   if (we_a)
23
     ram[adr_a] <= d_a;
24
   always @ (posedge clk_b)
25
   adr_b_reg <= adr_b;
26
   assign q_b = ram[adr_b_reg];
27
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.