OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [Makefile] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 unneback
VERILOG_FILES = clk_and_reset.v
2
VERILOG_FILES += registers.v
3 18 unneback
VERILOG_FILES += logic.v
4 3 unneback
 
5 22 unneback
VERILOG_FILES_CNT = vl_cnt_bin.v
6
VERILOG_FILES_CNT += vl_cnt_bin_clear.v
7
VERILOG_FILES_CNT += vl_cnt_bin_ce.v
8 18 unneback
VERILOG_FILES_CNT += vl_cnt_bin_ce_clear.v
9
VERILOG_FILES_CNT += vl_cnt_bin_ce_clear_set_rew.v
10
VERILOG_FILES_CNT += vl_cnt_bin_ce_rew_l1.v
11
VERILOG_FILES_CNT += vl_cnt_lfsr_zq.v
12
VERILOG_FILES_CNT += vl_cnt_lfsr_ce_zq.v
13 22 unneback
VERILOG_FILES_CNT += vl_cnt_lfsr_ce_q_zq.v
14 18 unneback
VERILOG_FILES_CNT += vl_cnt_lfsr_ce_rew_l1.v
15
VERILOG_FILES_CNT += vl_cnt_gray.v
16
VERILOG_FILES_CNT += vl_cnt_gray_ce.v
17
VERILOG_FILES_CNT += vl_cnt_gray_ce_bin.v
18 3 unneback
 
19
VERILOG_FILES += $(VERILOG_FILES_CNT)
20
VERILOG_FILES += counters.v
21 5 unneback
VERILOG_FILES += memories.v
22 12 unneback
VERILOG_FILES += wb.v
23 18 unneback
VERILOG_FILES += arith.v
24 3 unneback
 
25
VERSATILE_LIBRARIES = versatile_library.v
26
VERSATILE_LIBRARIES += versatile_library_actel.v
27
VERSATILE_LIBRARIES += versatile_library_altera.v
28
 
29
svn_export:
30
        svn export http://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk/rtl/verilog/versatile_counter_generator.php
31
        svn export http://opencores.org/ocsvn/versatile_counter/versatile_counter/trunk/rtl/verilog/CSV.class.php
32
 
33
#.PHONY: $(VERILOG_FILES_CNT)
34
$(VERILOG_FILES_CNT):
35 22 unneback
        ./versatile_counter_generator.php cnt_bin.csv > vl_cnt_bin.v
36
        ./versatile_counter_generator.php cnt_bin_clear.csv > vl_cnt_bin_clear.v
37 18 unneback
        ./versatile_counter_generator.php cnt_bin_ce.csv > vl_cnt_bin_ce.v
38
        ./versatile_counter_generator.php cnt_bin_ce_clear.csv > vl_cnt_bin_ce_clear.v
39
        ./versatile_counter_generator.php cnt_bin_ce_clear_set_rew.csv > vl_cnt_bin_ce_clear_set_rew.v
40
        ./versatile_counter_generator.php cnt_bin_ce_rew_l1.csv > vl_cnt_bin_ce_rew_l1.v
41
        ./versatile_counter_generator.php cnt_lfsr_zq.csv > vl_cnt_lfsr_zq.v
42
        ./versatile_counter_generator.php cnt_lfsr_ce_zq.csv > vl_cnt_lfsr_ce_zq.v
43 22 unneback
        ./versatile_counter_generator.php cnt_lfsr_ce_q_zq.csv > vl_cnt_lfsr_ce_q_zq.v
44 18 unneback
        ./versatile_counter_generator.php cnt_lfsr_ce_rew_l1.csv > vl_cnt_lfsr_ce_rew_l1.v
45
        ./versatile_counter_generator.php cnt_gray.csv > vl_cnt_gray.v
46
        ./versatile_counter_generator.php cnt_gray_ce.csv > vl_cnt_gray_ce.v
47
        ./versatile_counter_generator.php cnt_gray_ce_bin.csv > vl_cnt_gray_ce_bin.v
48 3 unneback
 
49
versatile_library.v: $(VERILOG_FILES)
50
        cat $(VERILOG_FILES)  | sed -r -e 's/\/\/E2_([a-z]+)/`\1/' > versatile_library.v
51
 
52
versatile_library_actel.v: $(VERILOG_FILES)
53
        vppreproc  --noline --noblank +define+ACTEL $(VERILOG_FILES) | sed -r -e 's/\/\/E2_([a-z]+)/`\1/' > versatile_library_actel.v
54
 
55
versatile_library_altera.v: $(VERILOG_FILES)
56
        vppreproc  --noline --noblank +define+ALTERA $(VERILOG_FILES) > versatile_library_altera.v
57
 
58
all: $(VERSATILE_LIBRARIES)
59
 
60
clean:
61
        rm $(VERSATILE_LIBRARIES)
62
        rm  $(VERILOG_FILES_CNT)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.