OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [versatile_library_altera.v] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 unneback
// default SYN_KEEP definition
2 6 unneback
//////////////////////////////////////////////////////////////////////
3
////                                                              ////
4
////  Versatile library, clock and reset                          ////
5
////                                                              ////
6
////  Description                                                 ////
7
////  Logic related to clock and reset                            ////
8
////                                                              ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - add more different registers                             ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14
////      - Michael Unneback, unneback@opencores.org              ////
15
////        ORSoC AB                                              ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43 21 unneback
//altera
44 33 unneback
module vl_gbuf ( i, o);
45
input i;
46
output o;
47
assign o = i;
48
endmodule
49 6 unneback
 // ALTERA
50
 //ACTEL
51
// sync reset
52 17 unneback
// input active lo async reset, normally from external reset generator and/or switch
53 6 unneback
// output active high global reset sync with two DFFs 
54
`timescale 1 ns/100 ps
55
module vl_sync_rst ( rst_n_i, rst_o, clk);
56
input rst_n_i, clk;
57
output rst_o;
58 18 unneback
reg [1:0] tmp;
59 6 unneback
always @ (posedge clk or negedge rst_n_i)
60
if (!rst_n_i)
61 17 unneback
        tmp <= 2'b11;
62 6 unneback
else
63 33 unneback
        tmp <= {1'b0,tmp[1]};
64 17 unneback
vl_gbuf buf_i0( .i(tmp[0]), .o(rst_o));
65 6 unneback
endmodule
66
// vl_pll
67 32 unneback
///////////////////////////////////////////////////////////////////////////////
68
`timescale 1 ps/1 ps
69
module vl_pll ( clk_i, rst_n_i, lock, clk_o, rst_o);
70
parameter index = 0;
71
parameter number_of_clk = 1;
72
parameter period_time_0 = 20000;
73
parameter period_time_1 = 20000;
74
parameter period_time_2 = 20000;
75
parameter period_time_3 = 20000;
76
parameter period_time_4 = 20000;
77
parameter lock_delay = 2000000;
78
input clk_i, rst_n_i;
79
output lock;
80
output reg [0:number_of_clk-1] clk_o;
81
output [0:number_of_clk-1] rst_o;
82 33 unneback
`ifdef SIM_PLL
83 32 unneback
always
84
     #((period_time_0)/2) clk_o[0] <=  (!rst_n_i) ? 0 : ~clk_o[0];
85
generate if (number_of_clk > 1)
86
always
87
     #((period_time_1)/2) clk_o[1] <=  (!rst_n_i) ? 0 : ~clk_o[1];
88
endgenerate
89
generate if (number_of_clk > 2)
90
always
91
     #((period_time_2)/2) clk_o[2] <=  (!rst_n_i) ? 0 : ~clk_o[2];
92
endgenerate
93 33 unneback
generate if (number_of_clk > 3)
94 32 unneback
always
95
     #((period_time_3)/2) clk_o[3] <=  (!rst_n_i) ? 0 : ~clk_o[3];
96
endgenerate
97 33 unneback
generate if (number_of_clk > 4)
98 32 unneback
always
99
     #((period_time_4)/2) clk_o[4] <=  (!rst_n_i) ? 0 : ~clk_o[4];
100
endgenerate
101
genvar i;
102
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
103
     vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
104
end
105
endgenerate
106 33 unneback
//assign #lock_delay lock = rst_n_i;
107
assign lock = rst_n_i;
108 32 unneback
endmodule
109 33 unneback
`else
110
`ifdef VL_PLL0
111
`ifdef VL_PLL0_CLK1
112
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
113
`endif
114
`ifdef VL_PLL0_CLK2
115
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
116
`endif
117
`ifdef VL_PLL0_CLK3
118
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
119
`endif
120
`ifdef VL_PLL0_CLK4
121
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
122
`endif
123
`ifdef VL_PLL0_CLK5
124
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
125
`endif
126
`endif
127
`ifdef VL_PLL1
128
`ifdef VL_PLL1_CLK1
129
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
130
`endif
131
`ifdef VL_PLL1_CLK2
132
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
133
`endif
134
`ifdef VL_PLL1_CLK3
135
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
136
`endif
137
`ifdef VL_PLL1_CLK4
138
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
139
`endif
140
`ifdef VL_PLL1_CLK5
141
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
142
`endif
143
`endif
144
`ifdef VL_PLL2
145
`ifdef VL_PLL2_CLK1
146
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
147
`endif
148
`ifdef VL_PLL2_CLK2
149
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
150
`endif
151
`ifdef VL_PLL2_CLK3
152
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
153
`endif
154
`ifdef VL_PLL2_CLK4
155
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
156
`endif
157
`ifdef VL_PLL2_CLK5
158
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
159
`endif
160
`endif
161
`ifdef VL_PLL3
162
`ifdef VL_PLL3_CLK1
163
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
164
`endif
165
`ifdef VL_PLL3_CLK2
166
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
167
`endif
168
`ifdef VL_PLL3_CLK3
169
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
170
`endif
171
`ifdef VL_PLL3_CLK4
172
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
173
`endif
174
`ifdef VL_PLL3_CLK5
175
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
176
`endif
177
`endif
178 32 unneback
genvar i;
179
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
180 40 unneback
        vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
181 32 unneback
end
182
endgenerate
183
endmodule
184 33 unneback
`endif
185 32 unneback
///////////////////////////////////////////////////////////////////////////////
186 6 unneback
 //altera
187
 //actel
188
//////////////////////////////////////////////////////////////////////
189
////                                                              ////
190
////  Versatile library, registers                                ////
191
////                                                              ////
192
////  Description                                                 ////
193
////  Different type of registers                                 ////
194
////                                                              ////
195
////                                                              ////
196
////  To Do:                                                      ////
197
////   - add more different registers                             ////
198
////                                                              ////
199
////  Author(s):                                                  ////
200
////      - Michael Unneback, unneback@opencores.org              ////
201
////        ORSoC AB                                              ////
202
////                                                              ////
203
//////////////////////////////////////////////////////////////////////
204
////                                                              ////
205
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
206
////                                                              ////
207
//// This source file may be used and distributed without         ////
208
//// restriction provided that this copyright statement is not    ////
209
//// removed from the file and that any derivative work contains  ////
210
//// the original copyright notice and the associated disclaimer. ////
211
////                                                              ////
212
//// This source file is free software; you can redistribute it   ////
213
//// and/or modify it under the terms of the GNU Lesser General   ////
214
//// Public License as published by the Free Software Foundation; ////
215
//// either version 2.1 of the License, or (at your option) any   ////
216
//// later version.                                               ////
217
////                                                              ////
218
//// This source is distributed in the hope that it will be       ////
219
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
220
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
221
//// PURPOSE.  See the GNU Lesser General Public License for more ////
222
//// details.                                                     ////
223
////                                                              ////
224
//// You should have received a copy of the GNU Lesser General    ////
225
//// Public License along with this source; if not, download it   ////
226
//// from http://www.opencores.org/lgpl.shtml                     ////
227
////                                                              ////
228
//////////////////////////////////////////////////////////////////////
229 18 unneback
module vl_dff ( d, q, clk, rst);
230 6 unneback
        parameter width = 1;
231
        parameter reset_value = 0;
232
        input [width-1:0] d;
233
        input clk, rst;
234
        output reg [width-1:0] q;
235
        always @ (posedge clk or posedge rst)
236
        if (rst)
237
                q <= reset_value;
238
        else
239
                q <= d;
240
endmodule
241 18 unneback
module vl_dff_array ( d, q, clk, rst);
242 6 unneback
        parameter width = 1;
243
        parameter depth = 2;
244
        parameter reset_value = 1'b0;
245
        input [width-1:0] d;
246
        input clk, rst;
247
        output [width-1:0] q;
248
        reg  [0:depth-1] q_tmp [width-1:0];
249
        integer i;
250
        always @ (posedge clk or posedge rst)
251
        if (rst) begin
252
            for (i=0;i<depth;i=i+1)
253
                q_tmp[i] <= {width{reset_value}};
254
        end else begin
255
            q_tmp[0] <= d;
256
            for (i=1;i<depth;i=i+1)
257
                q_tmp[i] <= q_tmp[i-1];
258
        end
259
    assign q = q_tmp[depth-1];
260
endmodule
261 18 unneback
module vl_dff_ce ( d, ce, q, clk, rst);
262 6 unneback
        parameter width = 1;
263
        parameter reset_value = 0;
264
        input [width-1:0] d;
265
        input ce, clk, rst;
266
        output reg [width-1:0] q;
267
        always @ (posedge clk or posedge rst)
268
        if (rst)
269
                q <= reset_value;
270
        else
271
                if (ce)
272
                        q <= d;
273
endmodule
274 18 unneback
module vl_dff_ce_clear ( d, ce, clear, q, clk, rst);
275 8 unneback
        parameter width = 1;
276
        parameter reset_value = 0;
277
        input [width-1:0] d;
278 10 unneback
        input ce, clear, clk, rst;
279 8 unneback
        output reg [width-1:0] q;
280
        always @ (posedge clk or posedge rst)
281
        if (rst)
282
            q <= reset_value;
283
        else
284
            if (ce)
285
                if (clear)
286
                    q <= {width{1'b0}};
287
                else
288
                    q <= d;
289
endmodule
290 24 unneback
module vl_dff_ce_set ( d, ce, set, q, clk, rst);
291
        parameter width = 1;
292
        parameter reset_value = 0;
293
        input [width-1:0] d;
294
        input ce, set, clk, rst;
295
        output reg [width-1:0] q;
296
        always @ (posedge clk or posedge rst)
297
        if (rst)
298
            q <= reset_value;
299
        else
300
            if (ce)
301
                if (set)
302
                    q <= {width{1'b1}};
303
                else
304
                    q <= d;
305
endmodule
306 29 unneback
module vl_spr ( sp, r, q, clk, rst);
307
        parameter width = 1;
308
        parameter reset_value = 0;
309
        input sp, r;
310
        output reg q;
311
        input clk, rst;
312
        always @ (posedge clk or posedge rst)
313
        if (rst)
314
            q <= reset_value;
315
        else
316
            if (sp)
317
                q <= 1'b1;
318
            else if (r)
319
                q <= 1'b0;
320
endmodule
321
module vl_srp ( s, rp, q, clk, rst);
322
        parameter width = 1;
323
        parameter reset_value = 0;
324
        input s, rp;
325
        output reg q;
326
        input clk, rst;
327
        always @ (posedge clk or posedge rst)
328
        if (rst)
329
            q <= reset_value;
330
        else
331
            if (rp)
332
                q <= 1'b0;
333
            else if (s)
334
                q <= 1'b1;
335
endmodule
336 6 unneback
// megafunction wizard: %LPM_FF%
337
// GENERATION: STANDARD
338
// VERSION: WM1.0
339
// MODULE: lpm_ff 
340
// ============================================================
341
// File Name: dff_sr.v
342
// Megafunction Name(s):
343
//                      lpm_ff
344
//
345
// Simulation Library Files(s):
346
//                      lpm
347
// ============================================================
348
// ************************************************************
349
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
350
//
351
// 9.1 Build 304 01/25/2010 SP 1 SJ Full Version
352
// ************************************************************
353
//Copyright (C) 1991-2010 Altera Corporation
354
//Your use of Altera Corporation's design tools, logic functions 
355
//and other software and tools, and its AMPP partner logic 
356
//functions, and any output files from any of the foregoing 
357
//(including device programming or simulation files), and any 
358
//associated documentation or information are expressly subject 
359
//to the terms and conditions of the Altera Program License 
360
//Subscription Agreement, Altera MegaCore Function License 
361
//Agreement, or other applicable license agreement, including, 
362
//without limitation, that your use is for the sole purpose of 
363
//programming logic devices manufactured by Altera and sold by 
364
//Altera or its authorized distributors.  Please refer to the 
365
//applicable agreement for further details.
366
// synopsys translate_off
367
`timescale 1 ps / 1 ps
368
// synopsys translate_on
369 18 unneback
module vl_dff_sr (
370 6 unneback
        aclr,
371
        aset,
372
        clock,
373
        data,
374
        q);
375
        input     aclr;
376
        input     aset;
377
        input     clock;
378
        input     data;
379
        output    q;
380
        wire [0:0] sub_wire0;
381
        wire [0:0] sub_wire1 = sub_wire0[0:0];
382
        wire  q = sub_wire1;
383
        wire  sub_wire2 = data;
384
        wire  sub_wire3 = sub_wire2;
385
        lpm_ff  lpm_ff_component (
386
                                .aclr (aclr),
387
                                .clock (clock),
388
                                .data (sub_wire3),
389
                                .aset (aset),
390
                                .q (sub_wire0)
391
                                // synopsys translate_off
392
                                ,
393
                                .aload (),
394
                                .enable (),
395
                                .sclr (),
396
                                .sload (),
397
                                .sset ()
398
                                // synopsys translate_on
399
                                );
400
        defparam
401
                lpm_ff_component.lpm_fftype = "DFF",
402
                lpm_ff_component.lpm_type = "LPM_FF",
403
                lpm_ff_component.lpm_width = 1;
404
endmodule
405
// ============================================================
406
// CNX file retrieval info
407
// ============================================================
408
// Retrieval info: PRIVATE: ACLR NUMERIC "1"
409
// Retrieval info: PRIVATE: ALOAD NUMERIC "0"
410
// Retrieval info: PRIVATE: ASET NUMERIC "1"
411
// Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
412
// Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
413
// Retrieval info: PRIVATE: DFF NUMERIC "1"
414
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
415
// Retrieval info: PRIVATE: SCLR NUMERIC "0"
416
// Retrieval info: PRIVATE: SLOAD NUMERIC "0"
417
// Retrieval info: PRIVATE: SSET NUMERIC "0"
418
// Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
419
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
420
// Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0"
421
// Retrieval info: PRIVATE: nBit NUMERIC "1"
422
// Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF"
423
// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF"
424
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
425
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
426
// Retrieval info: USED_PORT: aset 0 0 0 0 INPUT NODEFVAL aset
427
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
428
// Retrieval info: USED_PORT: data 0 0 0 0 INPUT NODEFVAL data
429
// Retrieval info: USED_PORT: q 0 0 0 0 OUTPUT NODEFVAL q
430
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
431
// Retrieval info: CONNECT: q 0 0 0 0 @q 0 0 1 0
432
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
433
// Retrieval info: CONNECT: @aset 0 0 0 0 aset 0 0 0 0
434
// Retrieval info: CONNECT: @data 0 0 1 0 data 0 0 0 0
435
// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
436
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.v TRUE
437
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.inc FALSE
438
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.cmp FALSE
439
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.bsf FALSE
440
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_inst.v FALSE
441
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_bb.v FALSE
442
// Retrieval info: LIB_FILE: lpm
443
// LATCH
444
// For targtes not supporting LATCH use dff_sr with clk=1 and data=1
445 18 unneback
module vl_latch ( d, le, q, clk);
446 6 unneback
input d, le;
447
output q;
448
input clk;
449
dff_sr i0 (.aclr(), .aset(), .clock(1'b1), .data(1'b1), .q(q));
450
endmodule
451 18 unneback
module vl_shreg ( d, q, clk, rst);
452 17 unneback
parameter depth = 10;
453
input d;
454
output q;
455
input clk, rst;
456
reg [1:depth] dffs;
457
always @ (posedge clk or posedge rst)
458
if (rst)
459
    dffs <= {depth{1'b0}};
460
else
461
    dffs <= {d,dffs[1:depth-1]};
462
assign q = dffs[depth];
463
endmodule
464 18 unneback
module vl_shreg_ce ( d, ce, q, clk, rst);
465 17 unneback
parameter depth = 10;
466
input d, ce;
467
output q;
468
input clk, rst;
469
reg [1:depth] dffs;
470
always @ (posedge clk or posedge rst)
471
if (rst)
472
    dffs <= {depth{1'b0}};
473
else
474
    if (ce)
475
        dffs <= {d,dffs[1:depth-1]};
476
assign q = dffs[depth];
477
endmodule
478 18 unneback
module vl_delay ( d, q, clk, rst);
479 15 unneback
parameter depth = 10;
480
input d;
481
output q;
482
input clk, rst;
483
reg [1:depth] dffs;
484
always @ (posedge clk or posedge rst)
485
if (rst)
486
    dffs <= {depth{1'b0}};
487
else
488
    dffs <= {d,dffs[1:depth-1]};
489
assign q = dffs[depth];
490
endmodule
491 18 unneback
module vl_delay_emptyflag ( d, q, emptyflag, clk, rst);
492 17 unneback
parameter depth = 10;
493
input d;
494
output q, emptyflag;
495
input clk, rst;
496
reg [1:depth] dffs;
497
always @ (posedge clk or posedge rst)
498
if (rst)
499
    dffs <= {depth{1'b0}};
500
else
501
    dffs <= {d,dffs[1:depth-1]};
502
assign q = dffs[depth];
503
assign emptyflag = !(|dffs);
504
endmodule
505 6 unneback
//////////////////////////////////////////////////////////////////////
506
////                                                              ////
507 18 unneback
////  Logic functions                                             ////
508
////                                                              ////
509
////  Description                                                 ////
510
////  Logic functions such as multiplexers                        ////
511
////                                                              ////
512
////                                                              ////
513
////  To Do:                                                      ////
514
////   -                                                          ////
515
////                                                              ////
516
////  Author(s):                                                  ////
517
////      - Michael Unneback, unneback@opencores.org              ////
518
////        ORSoC AB                                              ////
519
////                                                              ////
520
//////////////////////////////////////////////////////////////////////
521
////                                                              ////
522
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
523
////                                                              ////
524
//// This source file may be used and distributed without         ////
525
//// restriction provided that this copyright statement is not    ////
526
//// removed from the file and that any derivative work contains  ////
527
//// the original copyright notice and the associated disclaimer. ////
528
////                                                              ////
529
//// This source file is free software; you can redistribute it   ////
530
//// and/or modify it under the terms of the GNU Lesser General   ////
531
//// Public License as published by the Free Software Foundation; ////
532
//// either version 2.1 of the License, or (at your option) any   ////
533
//// later version.                                               ////
534
////                                                              ////
535
//// This source is distributed in the hope that it will be       ////
536
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
537
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
538
//// PURPOSE.  See the GNU Lesser General Public License for more ////
539
//// details.                                                     ////
540
////                                                              ////
541
//// You should have received a copy of the GNU Lesser General    ////
542
//// Public License along with this source; if not, download it   ////
543
//// from http://www.opencores.org/lgpl.shtml                     ////
544
////                                                              ////
545
//////////////////////////////////////////////////////////////////////
546 36 unneback
module vl_mux_andor ( a, sel, dout);
547
parameter width = 32;
548
parameter nr_of_ports = 4;
549
input [nr_of_ports*width-1:0] a;
550
input [nr_of_ports-1:0] sel;
551
output reg [width-1:0] dout;
552 38 unneback
integer i,j;
553 36 unneback
always @ (a, sel)
554
begin
555
    dout = a[width-1:0] & {width{sel[0]}};
556 42 unneback
    for (i=1;i<nr_of_ports;i=i+1)
557
        for (j=0;j<width;j=j+1)
558
            dout[j] = (a[i*width + j] & sel[i]) | dout[j];
559 36 unneback
end
560
endmodule
561 34 unneback
module vl_mux2_andor ( a1, a0, sel, dout);
562
parameter width = 32;
563 35 unneback
localparam nr_of_ports = 2;
564 34 unneback
input [width-1:0] a1, a0;
565
input [nr_of_ports-1:0] sel;
566
output [width-1:0] dout;
567 36 unneback
vl_mux_andor
568 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
569 36 unneback
    mux0( .a({a1,a0}), .sel(sel), .dout(dout));
570 34 unneback
endmodule
571
module vl_mux3_andor ( a2, a1, a0, sel, dout);
572
parameter width = 32;
573 35 unneback
localparam nr_of_ports = 3;
574 34 unneback
input [width-1:0] a2, a1, a0;
575
input [nr_of_ports-1:0] sel;
576
output [width-1:0] dout;
577 36 unneback
vl_mux_andor
578 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
579 36 unneback
    mux0( .a({a2,a1,a0}), .sel(sel), .dout(dout));
580 34 unneback
endmodule
581 18 unneback
module vl_mux4_andor ( a3, a2, a1, a0, sel, dout);
582
parameter width = 32;
583 35 unneback
localparam nr_of_ports = 4;
584 18 unneback
input [width-1:0] a3, a2, a1, a0;
585
input [nr_of_ports-1:0] sel;
586 22 unneback
output [width-1:0] dout;
587 36 unneback
vl_mux_andor
588 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
589 36 unneback
    mux0( .a({a3,a2,a1,a0}), .sel(sel), .dout(dout));
590 18 unneback
endmodule
591
module vl_mux5_andor ( a4, a3, a2, a1, a0, sel, dout);
592
parameter width = 32;
593 35 unneback
localparam nr_of_ports = 5;
594 18 unneback
input [width-1:0] a4, a3, a2, a1, a0;
595
input [nr_of_ports-1:0] sel;
596 22 unneback
output [width-1:0] dout;
597 36 unneback
vl_mux_andor
598 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
599 36 unneback
    mux0( .a({a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
600 18 unneback
endmodule
601
module vl_mux6_andor ( a5, a4, a3, a2, a1, a0, sel, dout);
602
parameter width = 32;
603 35 unneback
localparam nr_of_ports = 6;
604 18 unneback
input [width-1:0] a5, a4, a3, a2, a1, a0;
605
input [nr_of_ports-1:0] sel;
606 22 unneback
output [width-1:0] dout;
607 36 unneback
vl_mux_andor
608 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
609 36 unneback
    mux0( .a({a5,a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
610 18 unneback
endmodule
611 43 unneback
module vl_parity_generate (data, parity);
612
parameter word_size = 32;
613
parameter chunk_size = 8;
614
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
615
input [word_size-1:0] data;
616
output reg [word_size/chunk_size-1:0] parity;
617
integer i,j;
618
always @ (data)
619
for (i=0;i<word_size/chunk_size;i=i+1) begin
620
    parity[i] = parity_type;
621
    for (j=0;j<chunk_size;j=j+1) begin
622 46 unneback
        parity[i] = data[i*chunk_size+j] ^ parity[i];
623 43 unneback
    end
624
end
625
endmodule
626
module vl_parity_check( data, parity, parity_error);
627
parameter word_size = 32;
628
parameter chunk_size = 8;
629
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
630
input [word_size-1:0] data;
631
input [word_size/chunk_size-1:0] parity;
632
output parity_error;
633 44 unneback
reg [word_size/chunk_size-1:0] error_flag;
634 43 unneback
integer i,j;
635
always @ (data or parity)
636
for (i=0;i<word_size/chunk_size;i=i+1) begin
637
    error_flag[i] = parity[i] ^ parity_type;
638
    for (j=0;j<chunk_size;j=j+1) begin
639 46 unneback
        error_flag[i] = data[i*chunk_size+j] ^ error_flag[i];
640 43 unneback
    end
641
end
642
assign parity_error = |error_flag;
643
endmodule
644 18 unneback
//////////////////////////////////////////////////////////////////////
645
////                                                              ////
646 44 unneback
////  IO functions                                                ////
647
////                                                              ////
648
////  Description                                                 ////
649
////  IO functions such as IOB flip-flops                         ////
650
////                                                              ////
651
////                                                              ////
652
////  To Do:                                                      ////
653
////   -                                                          ////
654
////                                                              ////
655
////  Author(s):                                                  ////
656
////      - Michael Unneback, unneback@opencores.org              ////
657
////        ORSoC AB                                              ////
658
////                                                              ////
659
//////////////////////////////////////////////////////////////////////
660
////                                                              ////
661
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
662
////                                                              ////
663
//// This source file may be used and distributed without         ////
664
//// restriction provided that this copyright statement is not    ////
665
//// removed from the file and that any derivative work contains  ////
666
//// the original copyright notice and the associated disclaimer. ////
667
////                                                              ////
668
//// This source file is free software; you can redistribute it   ////
669
//// and/or modify it under the terms of the GNU Lesser General   ////
670
//// Public License as published by the Free Software Foundation; ////
671
//// either version 2.1 of the License, or (at your option) any   ////
672
//// later version.                                               ////
673
////                                                              ////
674
//// This source is distributed in the hope that it will be       ////
675
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
676
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
677
//// PURPOSE.  See the GNU Lesser General Public License for more ////
678
//// details.                                                     ////
679
////                                                              ////
680
//// You should have received a copy of the GNU Lesser General    ////
681
//// Public License along with this source; if not, download it   ////
682
//// from http://www.opencores.org/lgpl.shtml                     ////
683
////                                                              ////
684
//////////////////////////////////////////////////////////////////////
685 45 unneback
`timescale 1ns/1ns
686 44 unneback
module vl_o_dff (d_i, o_pad, clk, rst);
687
parameter width = 1;
688 45 unneback
parameter reset_value = {width{1'b0}};
689
input  [width-1:0]  d_i;
690 44 unneback
output [width-1:0] o_pad;
691
input clk, rst;
692 60 unneback
wire [width-1:0] d_i_int /*synthesis syn_keep = 1*/;
693 45 unneback
reg  [width-1:0] o_pad_int;
694 44 unneback
assign d_i_int = d_i;
695
genvar i;
696 45 unneback
generate
697 44 unneback
for (i=0;i<width;i=i+1) begin
698
    always @ (posedge clk or posedge rst)
699
    if (rst)
700 45 unneback
        o_pad_int[i] <= reset_value[i];
701 44 unneback
    else
702 45 unneback
        o_pad_int[i] <= d_i_int[i];
703
    assign #1 o_pad[i] = o_pad_int[i];
704 44 unneback
end
705
endgenerate
706
endmodule
707 45 unneback
`timescale 1ns/1ns
708 44 unneback
module vl_io_dff_oe ( d_i, d_o, oe, io_pad, clk, rst);
709
parameter width = 1;
710
input  [width-1:0] d_o;
711
output reg [width-1:0] d_i;
712
input oe;
713
inout [width-1:0] io_pad;
714
input clk, rst;
715 60 unneback
wire [width-1:0] oe_d /*synthesis syn_keep = 1*/;
716 44 unneback
reg [width-1:0] oe_q;
717
reg [width-1:0] d_o_q;
718
assign oe_d = {width{oe}};
719
genvar i;
720
generate
721
for (i=0;i<width;i=i+1) begin
722
    always @ (posedge clk or posedge rst)
723
    if (rst)
724
        oe_q[i] <= 1'b0;
725
    else
726
        oe_q[i] <= oe_d[i];
727
    always @ (posedge clk or posedge rst)
728
    if (rst)
729
        d_o_q[i] <= 1'b0;
730
    else
731
        d_o_q[i] <= d_o[i];
732
    always @ (posedge clk or posedge rst)
733
    if (rst)
734
        d_i[i] <= 1'b0;
735
    else
736
        d_i[i] <= io_pad[i];
737 45 unneback
    assign #1 io_pad[i] = (oe_q[i]) ? d_o_q[i] : 1'bz;
738 44 unneback
end
739
endgenerate
740
endmodule
741
//////////////////////////////////////////////////////////////////////
742
////                                                              ////
743 6 unneback
////  Versatile counter                                           ////
744
////                                                              ////
745
////  Description                                                 ////
746
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
747
////  counter                                                     ////
748
////                                                              ////
749
////  To Do:                                                      ////
750
////   - add LFSR with more taps                                  ////
751
////                                                              ////
752
////  Author(s):                                                  ////
753
////      - Michael Unneback, unneback@opencores.org              ////
754
////        ORSoC AB                                              ////
755
////                                                              ////
756
//////////////////////////////////////////////////////////////////////
757
////                                                              ////
758
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
759
////                                                              ////
760
//// This source file may be used and distributed without         ////
761
//// restriction provided that this copyright statement is not    ////
762
//// removed from the file and that any derivative work contains  ////
763
//// the original copyright notice and the associated disclaimer. ////
764
////                                                              ////
765
//// This source file is free software; you can redistribute it   ////
766
//// and/or modify it under the terms of the GNU Lesser General   ////
767
//// Public License as published by the Free Software Foundation; ////
768
//// either version 2.1 of the License, or (at your option) any   ////
769
//// later version.                                               ////
770
////                                                              ////
771
//// This source is distributed in the hope that it will be       ////
772
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
773
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
774
//// PURPOSE.  See the GNU Lesser General Public License for more ////
775
//// details.                                                     ////
776
////                                                              ////
777
//// You should have received a copy of the GNU Lesser General    ////
778
//// Public License along with this source; if not, download it   ////
779
//// from http://www.opencores.org/lgpl.shtml                     ////
780
////                                                              ////
781
//////////////////////////////////////////////////////////////////////
782
// binary counter
783 40 unneback
module vl_cnt_bin_ce (
784
 cke, q, rst, clk);
785 22 unneback
   parameter length = 4;
786 6 unneback
   input cke;
787
   output [length:1] q;
788
   input rst;
789
   input clk;
790
   parameter clear_value = 0;
791
   parameter set_value = 1;
792
   parameter wrap_value = 0;
793
   parameter level1_value = 15;
794
   reg  [length:1] qi;
795
   wire [length:1] q_next;
796
   assign q_next = qi + {{length-1{1'b0}},1'b1};
797
   always @ (posedge clk or posedge rst)
798
     if (rst)
799
       qi <= {length{1'b0}};
800
     else
801
     if (cke)
802
       qi <= q_next;
803
   assign q = qi;
804
endmodule
805
//////////////////////////////////////////////////////////////////////
806
////                                                              ////
807
////  Versatile counter                                           ////
808
////                                                              ////
809
////  Description                                                 ////
810
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
811
////  counter                                                     ////
812
////                                                              ////
813
////  To Do:                                                      ////
814
////   - add LFSR with more taps                                  ////
815
////                                                              ////
816
////  Author(s):                                                  ////
817
////      - Michael Unneback, unneback@opencores.org              ////
818
////        ORSoC AB                                              ////
819
////                                                              ////
820
//////////////////////////////////////////////////////////////////////
821
////                                                              ////
822
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
823
////                                                              ////
824
//// This source file may be used and distributed without         ////
825
//// restriction provided that this copyright statement is not    ////
826
//// removed from the file and that any derivative work contains  ////
827
//// the original copyright notice and the associated disclaimer. ////
828
////                                                              ////
829
//// This source file is free software; you can redistribute it   ////
830
//// and/or modify it under the terms of the GNU Lesser General   ////
831
//// Public License as published by the Free Software Foundation; ////
832
//// either version 2.1 of the License, or (at your option) any   ////
833
//// later version.                                               ////
834
////                                                              ////
835
//// This source is distributed in the hope that it will be       ////
836
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
837
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
838
//// PURPOSE.  See the GNU Lesser General Public License for more ////
839
//// details.                                                     ////
840
////                                                              ////
841
//// You should have received a copy of the GNU Lesser General    ////
842
//// Public License along with this source; if not, download it   ////
843
//// from http://www.opencores.org/lgpl.shtml                     ////
844
////                                                              ////
845
//////////////////////////////////////////////////////////////////////
846
// binary counter
847 40 unneback
module vl_cnt_bin_ce_rew_zq_l1 (
848
 cke, rew, zq, level1, rst, clk);
849 6 unneback
   parameter length = 4;
850
   input cke;
851
   input rew;
852 25 unneback
   output reg zq;
853
   output reg level1;
854
   input rst;
855
   input clk;
856
   parameter clear_value = 0;
857
   parameter set_value = 1;
858
   parameter wrap_value = 1;
859
   parameter level1_value = 15;
860 29 unneback
   wire clear;
861 30 unneback
   assign clear = 1'b0;
862 25 unneback
   reg  [length:1] qi;
863
   wire  [length:1] q_next, q_next_fw, q_next_rew;
864
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
865
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
866
   assign q_next = rew ? q_next_rew : q_next_fw;
867
   always @ (posedge clk or posedge rst)
868
     if (rst)
869
       qi <= {length{1'b0}};
870
     else
871
     if (cke)
872
       qi <= q_next;
873
   always @ (posedge clk or posedge rst)
874
     if (rst)
875
       zq <= 1'b1;
876
     else
877
     if (cke)
878
       zq <= q_next == {length{1'b0}};
879
    always @ (posedge clk or posedge rst)
880
    if (rst)
881
        level1 <= 1'b0;
882
    else
883
    if (cke)
884 29 unneback
    if (clear)
885
        level1 <= 1'b0;
886
    else if (q_next == level1_value)
887 25 unneback
        level1 <= 1'b1;
888
    else if (qi == level1_value & rew)
889
        level1 <= 1'b0;
890
endmodule
891
//////////////////////////////////////////////////////////////////////
892
////                                                              ////
893
////  Versatile counter                                           ////
894
////                                                              ////
895
////  Description                                                 ////
896
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
897
////  counter                                                     ////
898
////                                                              ////
899
////  To Do:                                                      ////
900
////   - add LFSR with more taps                                  ////
901
////                                                              ////
902
////  Author(s):                                                  ////
903
////      - Michael Unneback, unneback@opencores.org              ////
904
////        ORSoC AB                                              ////
905
////                                                              ////
906
//////////////////////////////////////////////////////////////////////
907
////                                                              ////
908
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
909
////                                                              ////
910
//// This source file may be used and distributed without         ////
911
//// restriction provided that this copyright statement is not    ////
912
//// removed from the file and that any derivative work contains  ////
913
//// the original copyright notice and the associated disclaimer. ////
914
////                                                              ////
915
//// This source file is free software; you can redistribute it   ////
916
//// and/or modify it under the terms of the GNU Lesser General   ////
917
//// Public License as published by the Free Software Foundation; ////
918
//// either version 2.1 of the License, or (at your option) any   ////
919
//// later version.                                               ////
920
////                                                              ////
921
//// This source is distributed in the hope that it will be       ////
922
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
923
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
924
//// PURPOSE.  See the GNU Lesser General Public License for more ////
925
//// details.                                                     ////
926
////                                                              ////
927
//// You should have received a copy of the GNU Lesser General    ////
928
//// Public License along with this source; if not, download it   ////
929
//// from http://www.opencores.org/lgpl.shtml                     ////
930
////                                                              ////
931
//////////////////////////////////////////////////////////////////////
932
// binary counter
933 40 unneback
module vl_cnt_bin_ce_rew_q_zq_l1 (
934
 cke, rew, q, zq, level1, rst, clk);
935 25 unneback
   parameter length = 4;
936
   input cke;
937
   input rew;
938
   output [length:1] q;
939
   output reg zq;
940
   output reg level1;
941
   input rst;
942
   input clk;
943
   parameter clear_value = 0;
944
   parameter set_value = 1;
945
   parameter wrap_value = 1;
946
   parameter level1_value = 15;
947 29 unneback
   wire clear;
948 30 unneback
   assign clear = 1'b0;
949 25 unneback
   reg  [length:1] qi;
950
   wire  [length:1] q_next, q_next_fw, q_next_rew;
951
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
952
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
953
   assign q_next = rew ? q_next_rew : q_next_fw;
954
   always @ (posedge clk or posedge rst)
955
     if (rst)
956
       qi <= {length{1'b0}};
957
     else
958
     if (cke)
959
       qi <= q_next;
960
   assign q = qi;
961
   always @ (posedge clk or posedge rst)
962
     if (rst)
963
       zq <= 1'b1;
964
     else
965
     if (cke)
966
       zq <= q_next == {length{1'b0}};
967
    always @ (posedge clk or posedge rst)
968
    if (rst)
969
        level1 <= 1'b0;
970
    else
971
    if (cke)
972 29 unneback
    if (clear)
973
        level1 <= 1'b0;
974
    else if (q_next == level1_value)
975 25 unneback
        level1 <= 1'b1;
976
    else if (qi == level1_value & rew)
977
        level1 <= 1'b0;
978
endmodule
979
//////////////////////////////////////////////////////////////////////
980
////                                                              ////
981
////  Versatile counter                                           ////
982
////                                                              ////
983
////  Description                                                 ////
984
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
985
////  counter                                                     ////
986
////                                                              ////
987
////  To Do:                                                      ////
988
////   - add LFSR with more taps                                  ////
989
////                                                              ////
990
////  Author(s):                                                  ////
991
////      - Michael Unneback, unneback@opencores.org              ////
992
////        ORSoC AB                                              ////
993
////                                                              ////
994
//////////////////////////////////////////////////////////////////////
995
////                                                              ////
996
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
997
////                                                              ////
998
//// This source file may be used and distributed without         ////
999
//// restriction provided that this copyright statement is not    ////
1000
//// removed from the file and that any derivative work contains  ////
1001
//// the original copyright notice and the associated disclaimer. ////
1002
////                                                              ////
1003
//// This source file is free software; you can redistribute it   ////
1004
//// and/or modify it under the terms of the GNU Lesser General   ////
1005
//// Public License as published by the Free Software Foundation; ////
1006
//// either version 2.1 of the License, or (at your option) any   ////
1007
//// later version.                                               ////
1008
////                                                              ////
1009
//// This source is distributed in the hope that it will be       ////
1010
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1011
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1012
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1013
//// details.                                                     ////
1014
////                                                              ////
1015
//// You should have received a copy of the GNU Lesser General    ////
1016
//// Public License along with this source; if not, download it   ////
1017
//// from http://www.opencores.org/lgpl.shtml                     ////
1018
////                                                              ////
1019
//////////////////////////////////////////////////////////////////////
1020 6 unneback
// GRAY counter
1021 40 unneback
module vl_cnt_gray_ce_bin (
1022
 cke, q, q_bin, rst, clk);
1023 6 unneback
   parameter length = 4;
1024
   input cke;
1025
   output reg [length:1] q;
1026
   output [length:1] q_bin;
1027
   input rst;
1028
   input clk;
1029
   parameter clear_value = 0;
1030
   parameter set_value = 1;
1031
   parameter wrap_value = 8;
1032
   parameter level1_value = 15;
1033
   reg  [length:1] qi;
1034
   wire [length:1] q_next;
1035
   assign q_next = qi + {{length-1{1'b0}},1'b1};
1036
   always @ (posedge clk or posedge rst)
1037
     if (rst)
1038
       qi <= {length{1'b0}};
1039
     else
1040
     if (cke)
1041
       qi <= q_next;
1042
   always @ (posedge clk or posedge rst)
1043
     if (rst)
1044
       q <= {length{1'b0}};
1045
     else
1046
       if (cke)
1047
         q <= (q_next>>1) ^ q_next;
1048
   assign q_bin = qi;
1049
endmodule
1050
//////////////////////////////////////////////////////////////////////
1051
////                                                              ////
1052
////  Versatile library, counters                                 ////
1053
////                                                              ////
1054
////  Description                                                 ////
1055
////  counters                                                    ////
1056
////                                                              ////
1057
////                                                              ////
1058
////  To Do:                                                      ////
1059
////   - add more counters                                        ////
1060
////                                                              ////
1061
////  Author(s):                                                  ////
1062
////      - Michael Unneback, unneback@opencores.org              ////
1063
////        ORSoC AB                                              ////
1064
////                                                              ////
1065
//////////////////////////////////////////////////////////////////////
1066
////                                                              ////
1067
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1068
////                                                              ////
1069
//// This source file may be used and distributed without         ////
1070
//// restriction provided that this copyright statement is not    ////
1071
//// removed from the file and that any derivative work contains  ////
1072
//// the original copyright notice and the associated disclaimer. ////
1073
////                                                              ////
1074
//// This source file is free software; you can redistribute it   ////
1075
//// and/or modify it under the terms of the GNU Lesser General   ////
1076
//// Public License as published by the Free Software Foundation; ////
1077
//// either version 2.1 of the License, or (at your option) any   ////
1078
//// later version.                                               ////
1079
////                                                              ////
1080
//// This source is distributed in the hope that it will be       ////
1081
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1082
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1083
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1084
//// details.                                                     ////
1085
////                                                              ////
1086
//// You should have received a copy of the GNU Lesser General    ////
1087
//// Public License along with this source; if not, download it   ////
1088
//// from http://www.opencores.org/lgpl.shtml                     ////
1089
////                                                              ////
1090
//////////////////////////////////////////////////////////////////////
1091 18 unneback
module vl_cnt_shreg_wrap ( q, rst, clk);
1092 6 unneback
   parameter length = 4;
1093
   output reg [0:length-1] q;
1094
   input rst;
1095
   input clk;
1096
    always @ (posedge clk or posedge rst)
1097
    if (rst)
1098
        q <= {1'b1,{length-1{1'b0}}};
1099
    else
1100
        q <= {q[length-1],q[0:length-2]};
1101
endmodule
1102 18 unneback
module vl_cnt_shreg_ce_wrap ( cke, q, rst, clk);
1103 6 unneback
   parameter length = 4;
1104
   input cke;
1105
   output reg [0:length-1] q;
1106
   input rst;
1107
   input clk;
1108
    always @ (posedge clk or posedge rst)
1109
    if (rst)
1110
        q <= {1'b1,{length-1{1'b0}}};
1111
    else
1112
        if (cke)
1113
            q <= {q[length-1],q[0:length-2]};
1114
endmodule
1115 18 unneback
module vl_cnt_shreg_ce_clear ( cke, clear, q, rst, clk);
1116 6 unneback
   parameter length = 4;
1117
   input cke, clear;
1118
   output reg [0:length-1] q;
1119
   input rst;
1120
   input clk;
1121
    always @ (posedge clk or posedge rst)
1122
    if (rst)
1123
        q <= {1'b1,{length-1{1'b0}}};
1124
    else
1125
        if (cke)
1126
            if (clear)
1127
                q <= {1'b1,{length-1{1'b0}}};
1128
            else
1129
                q <= q >> 1;
1130
endmodule
1131 18 unneback
module vl_cnt_shreg_ce_clear_wrap ( cke, clear, q, rst, clk);
1132 6 unneback
   parameter length = 4;
1133
   input cke, clear;
1134
   output reg [0:length-1] q;
1135
   input rst;
1136
   input clk;
1137
    always @ (posedge clk or posedge rst)
1138
    if (rst)
1139
        q <= {1'b1,{length-1{1'b0}}};
1140
    else
1141
        if (cke)
1142
            if (clear)
1143
                q <= {1'b1,{length-1{1'b0}}};
1144
            else
1145
            q <= {q[length-1],q[0:length-2]};
1146
endmodule
1147
//////////////////////////////////////////////////////////////////////
1148
////                                                              ////
1149
////  Versatile library, memories                                 ////
1150
////                                                              ////
1151
////  Description                                                 ////
1152
////  memories                                                    ////
1153
////                                                              ////
1154
////                                                              ////
1155
////  To Do:                                                      ////
1156
////   - add more memory types                                    ////
1157
////                                                              ////
1158
////  Author(s):                                                  ////
1159
////      - Michael Unneback, unneback@opencores.org              ////
1160
////        ORSoC AB                                              ////
1161
////                                                              ////
1162
//////////////////////////////////////////////////////////////////////
1163
////                                                              ////
1164
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1165
////                                                              ////
1166
//// This source file may be used and distributed without         ////
1167
//// restriction provided that this copyright statement is not    ////
1168
//// removed from the file and that any derivative work contains  ////
1169
//// the original copyright notice and the associated disclaimer. ////
1170
////                                                              ////
1171
//// This source file is free software; you can redistribute it   ////
1172
//// and/or modify it under the terms of the GNU Lesser General   ////
1173
//// Public License as published by the Free Software Foundation; ////
1174
//// either version 2.1 of the License, or (at your option) any   ////
1175
//// later version.                                               ////
1176
////                                                              ////
1177
//// This source is distributed in the hope that it will be       ////
1178
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1179
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1180
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1181
//// details.                                                     ////
1182
////                                                              ////
1183
//// You should have received a copy of the GNU Lesser General    ////
1184
//// Public License along with this source; if not, download it   ////
1185
//// from http://www.opencores.org/lgpl.shtml                     ////
1186
////                                                              ////
1187
//////////////////////////////////////////////////////////////////////
1188
/// ROM
1189 7 unneback
module vl_rom_init ( adr, q, clk);
1190
   parameter data_width = 32;
1191
   parameter addr_width = 8;
1192
   input [(addr_width-1):0]       adr;
1193
   output reg [(data_width-1):0] q;
1194
   input                         clk;
1195
   reg [data_width-1:0] rom [(1<<addr_width)-1:0];
1196
   parameter memory_file = "vl_rom.vmem";
1197
   initial
1198
     begin
1199
        $readmemh(memory_file, rom);
1200
     end
1201
   always @ (posedge clk)
1202
     q <= rom[adr];
1203
endmodule
1204 6 unneback
// Single port RAM
1205
module vl_ram ( d, adr, we, q, clk);
1206
   parameter data_width = 32;
1207
   parameter addr_width = 8;
1208
   input [(data_width-1):0]      d;
1209
   input [(addr_width-1):0]       adr;
1210
   input                         we;
1211 7 unneback
   output reg [(data_width-1):0] q;
1212 6 unneback
   input                         clk;
1213
   reg [data_width-1:0] ram [(1<<addr_width)-1:0];
1214 7 unneback
   parameter init = 0;
1215
   parameter memory_file = "vl_ram.vmem";
1216
   generate if (init) begin : init_mem
1217
   initial
1218
     begin
1219
        $readmemh(memory_file, ram);
1220
     end
1221
   end
1222
   endgenerate
1223 6 unneback
   always @ (posedge clk)
1224
   begin
1225
   if (we)
1226
     ram[adr] <= d;
1227
   q <= ram[adr];
1228
   end
1229
endmodule
1230 7 unneback
module vl_ram_be ( d, adr, be, we, q, clk);
1231
   parameter data_width = 32;
1232
   parameter addr_width = 8;
1233
   input [(data_width-1):0]      d;
1234
   input [(addr_width-1):0]       adr;
1235
   input [(addr_width/4)-1:0]    be;
1236
   input                         we;
1237
   output reg [(data_width-1):0] q;
1238
   input                         clk;
1239
   reg [data_width-1:0] ram [(1<<addr_width)-1:0];
1240 60 unneback
   parameter memory_init = 0;
1241 7 unneback
   parameter memory_file = "vl_ram.vmem";
1242 60 unneback
   generate if (memory_init) begin : init_mem
1243 7 unneback
   initial
1244
     begin
1245
        $readmemh(memory_file, ram);
1246
     end
1247
   end
1248
   endgenerate
1249 60 unneback
`ifdef SYSTEMVERILOG
1250
// use a multi-dimensional packed array
1251
//to model individual bytes within the word
1252
logic [dat_width/8-1:0][7:0] ram[0:1<<(adr_width-2)-1];// # words = 1 << address width
1253
always_ff@(posedge clk)
1254
begin
1255
    if(we) begin // note: we should have a for statement to support any bus width
1256
        if(be[3]) ram[adr[adr_size-2:0]][3] <= d[31:24];
1257
        if(be[2]) ram[adr[adr_size-2:0]][2] <= d[23:16];
1258
        if(be[1]) ram[adr[adr_size-2:0]][1] <= d[15:8];
1259
        if(be[0]) ram[adr[adr_size-2:0]][0] <= d[7:0];
1260
    end
1261
    q <= ram[raddr];
1262
end
1263
`else
1264 7 unneback
   genvar i;
1265
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_ram
1266
      always @ (posedge clk)
1267
      if (we & be[i])
1268
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
1269
   end
1270
   endgenerate
1271
   always @ (posedge clk)
1272
      q <= ram[adr];
1273 60 unneback
`endif
1274 7 unneback
endmodule
1275
module vl_dpram_1r1w ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1276 6 unneback
   parameter data_width = 32;
1277
   parameter addr_width = 8;
1278
   input [(data_width-1):0]      d_a;
1279
   input [(addr_width-1):0]       adr_a;
1280
   input [(addr_width-1):0]       adr_b;
1281
   input                         we_a;
1282
   output [(data_width-1):0]      q_b;
1283
   input                         clk_a, clk_b;
1284
   reg [(addr_width-1):0]         adr_b_reg;
1285
   reg [data_width-1:0] ram [(1<<addr_width)-1:0] ;
1286 7 unneback
   parameter init = 0;
1287
   parameter memory_file = "vl_ram.vmem";
1288
   generate if (init) begin : init_mem
1289
   initial
1290
     begin
1291
        $readmemh(memory_file, ram);
1292
     end
1293
   end
1294
   endgenerate
1295 6 unneback
   always @ (posedge clk_a)
1296
   if (we_a)
1297
     ram[adr_a] <= d_a;
1298
   always @ (posedge clk_b)
1299
   adr_b_reg <= adr_b;
1300
   assign q_b = ram[adr_b_reg];
1301
endmodule
1302 7 unneback
module vl_dpram_2r1w ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1303 6 unneback
   parameter data_width = 32;
1304
   parameter addr_width = 8;
1305
   input [(data_width-1):0]      d_a;
1306
   input [(addr_width-1):0]       adr_a;
1307
   input [(addr_width-1):0]       adr_b;
1308
   input                         we_a;
1309
   output [(data_width-1):0]      q_b;
1310
   output reg [(data_width-1):0] q_a;
1311
   input                         clk_a, clk_b;
1312
   reg [(data_width-1):0]         q_b;
1313
   reg [data_width-1:0] ram [(1<<addr_width)-1:0] ;
1314 7 unneback
   parameter init = 0;
1315
   parameter memory_file = "vl_ram.vmem";
1316
   generate if (init) begin : init_mem
1317
   initial
1318
     begin
1319
        $readmemh(memory_file, ram);
1320
     end
1321
   end
1322
   endgenerate
1323 6 unneback
   always @ (posedge clk_a)
1324
     begin
1325
        q_a <= ram[adr_a];
1326
        if (we_a)
1327
             ram[adr_a] <= d_a;
1328
     end
1329
   always @ (posedge clk_b)
1330
          q_b <= ram[adr_b];
1331
endmodule
1332 7 unneback
module vl_dpram_2r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
1333 6 unneback
   parameter data_width = 32;
1334
   parameter addr_width = 8;
1335
   input [(data_width-1):0]      d_a;
1336
   input [(addr_width-1):0]       adr_a;
1337
   input [(addr_width-1):0]       adr_b;
1338
   input                         we_a;
1339
   output [(data_width-1):0]      q_b;
1340
   input [(data_width-1):0]       d_b;
1341
   output reg [(data_width-1):0] q_a;
1342
   input                         we_b;
1343
   input                         clk_a, clk_b;
1344
   reg [(data_width-1):0]         q_b;
1345
   reg [data_width-1:0] ram [(1<<addr_width)-1:0] ;
1346 7 unneback
   parameter init = 0;
1347
   parameter memory_file = "vl_ram.vmem";
1348
   generate if (init) begin : init_mem
1349
   initial
1350
     begin
1351
        $readmemh(memory_file, ram);
1352
     end
1353
   end
1354
   endgenerate
1355 6 unneback
   always @ (posedge clk_a)
1356
     begin
1357
        q_a <= ram[adr_a];
1358
        if (we_a)
1359
             ram[adr_a] <= d_a;
1360
     end
1361
   always @ (posedge clk_b)
1362
     begin
1363
        q_b <= ram[adr_b];
1364
        if (we_b)
1365
          ram[adr_b] <= d_b;
1366
     end
1367
endmodule
1368
// Content addresable memory, CAM
1369
// FIFO
1370 25 unneback
module vl_fifo_1r1w_fill_level_sync (
1371
    d, wr, fifo_full,
1372
    q, rd, fifo_empty,
1373
    fill_level,
1374
    clk, rst
1375
    );
1376
parameter data_width = 18;
1377
parameter addr_width = 4;
1378
// write side
1379
input  [data_width-1:0] d;
1380
input                   wr;
1381
output                  fifo_full;
1382
// read side
1383
output [data_width-1:0] q;
1384
input                   rd;
1385
output                  fifo_empty;
1386
// common
1387
output [addr_width:0]   fill_level;
1388
input rst, clk;
1389
wire [addr_width:1] wadr, radr;
1390
vl_cnt_bin_ce
1391
    # ( .length(addr_width))
1392
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
1393
vl_cnt_bin_ce
1394
    # (.length(addr_width))
1395
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
1396
vl_dpram_1r1w
1397
    # (.data_width(data_width), .addr_width(addr_width))
1398
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
1399 31 unneback
vl_cnt_bin_ce_rew_q_zq_l1
1400 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
1401 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
1402
endmodule
1403 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
1404
// RAM is supposed to be larger than the two FIFOs
1405
// LFSR counters used adr pointers
1406
module vl_fifo_2r2w_sync_simplex (
1407
    // a side
1408
    a_d, a_wr, a_fifo_full,
1409
    a_q, a_rd, a_fifo_empty,
1410
    a_fill_level,
1411
    // b side
1412
    b_d, b_wr, b_fifo_full,
1413
    b_q, b_rd, b_fifo_empty,
1414
    b_fill_level,
1415
    // common
1416
    clk, rst
1417
    );
1418
parameter data_width = 8;
1419
parameter addr_width = 5;
1420
parameter fifo_full_level = (1<<addr_width)-1;
1421
// a side
1422
input  [data_width-1:0] a_d;
1423
input                   a_wr;
1424
output                  a_fifo_full;
1425
output [data_width-1:0] a_q;
1426
input                   a_rd;
1427
output                  a_fifo_empty;
1428
output [addr_width-1:0] a_fill_level;
1429
// b side
1430
input  [data_width-1:0] b_d;
1431
input                   b_wr;
1432
output                  b_fifo_full;
1433
output [data_width-1:0] b_q;
1434
input                   b_rd;
1435
output                  b_fifo_empty;
1436
output [addr_width-1:0] b_fill_level;
1437
input                   clk;
1438
input                   rst;
1439
// adr_gen
1440
wire [addr_width:1] a_wadr, a_radr;
1441
wire [addr_width:1] b_wadr, b_radr;
1442
// dpram
1443
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1444
vl_cnt_lfsr_ce
1445
    # ( .length(addr_width))
1446
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
1447
vl_cnt_lfsr_ce
1448
    # (.length(addr_width))
1449
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
1450
vl_cnt_lfsr_ce
1451
    # ( .length(addr_width))
1452
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
1453
vl_cnt_lfsr_ce
1454
    # (.length(addr_width))
1455
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
1456
// mux read or write adr to DPRAM
1457
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
1458
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
1459
vl_dpram_2r2w
1460
    # (.data_width(data_width), .addr_width(addr_width+1))
1461
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1462
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1463
vl_cnt_bin_ce_rew_zq_l1
1464 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1465 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
1466
vl_cnt_bin_ce_rew_zq_l1
1467 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1468 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
1469
endmodule
1470 6 unneback
module vl_fifo_cmp_async ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
1471 11 unneback
   parameter addr_width = 4;
1472
   parameter N = addr_width-1;
1473 6 unneback
   parameter Q1 = 2'b00;
1474
   parameter Q2 = 2'b01;
1475
   parameter Q3 = 2'b11;
1476
   parameter Q4 = 2'b10;
1477
   parameter going_empty = 1'b0;
1478
   parameter going_full  = 1'b1;
1479
   input [N:0]  wptr, rptr;
1480 14 unneback
   output       fifo_empty;
1481 6 unneback
   output       fifo_full;
1482
   input        wclk, rclk, rst;
1483
   wire direction;
1484
   reg  direction_set, direction_clr;
1485
   wire async_empty, async_full;
1486
   wire fifo_full2;
1487 14 unneback
   wire fifo_empty2;
1488 6 unneback
   // direction_set
1489
   always @ (wptr[N:N-1] or rptr[N:N-1])
1490
     case ({wptr[N:N-1],rptr[N:N-1]})
1491
       {Q1,Q2} : direction_set <= 1'b1;
1492
       {Q2,Q3} : direction_set <= 1'b1;
1493
       {Q3,Q4} : direction_set <= 1'b1;
1494
       {Q4,Q1} : direction_set <= 1'b1;
1495
       default : direction_set <= 1'b0;
1496
     endcase
1497
   // direction_clear
1498
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
1499
     if (rst)
1500
       direction_clr <= 1'b1;
1501
     else
1502
       case ({wptr[N:N-1],rptr[N:N-1]})
1503
         {Q2,Q1} : direction_clr <= 1'b1;
1504
         {Q3,Q2} : direction_clr <= 1'b1;
1505
         {Q4,Q3} : direction_clr <= 1'b1;
1506
         {Q1,Q4} : direction_clr <= 1'b1;
1507
         default : direction_clr <= 1'b0;
1508
       endcase
1509 18 unneback
    vl_dff_sr dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
1510 6 unneback
   assign async_empty = (wptr == rptr) && (direction==going_empty);
1511
   assign async_full  = (wptr == rptr) && (direction==going_full);
1512 18 unneback
    vl_dff_sr dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
1513
    vl_dff_sr dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
1514 6 unneback
/*
1515
   always @ (posedge wclk or posedge rst or posedge async_full)
1516
     if (rst)
1517
       {fifo_full, fifo_full2} <= 2'b00;
1518
     else if (async_full)
1519
       {fifo_full, fifo_full2} <= 2'b11;
1520
     else
1521
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
1522
*/
1523 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
1524 6 unneback
     if (async_empty)
1525
       {fifo_empty, fifo_empty2} <= 2'b11;
1526
     else
1527 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
1528 18 unneback
    vl_dff # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
1529
    vl_dff # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
1530 27 unneback
endmodule // async_compb
1531 6 unneback
module vl_fifo_1r1w_async (
1532
    d, wr, fifo_full, wr_clk, wr_rst,
1533
    q, rd, fifo_empty, rd_clk, rd_rst
1534
    );
1535
parameter data_width = 18;
1536
parameter addr_width = 4;
1537
// write side
1538
input  [data_width-1:0] d;
1539
input                   wr;
1540
output                  fifo_full;
1541
input                   wr_clk;
1542
input                   wr_rst;
1543
// read side
1544
output [data_width-1:0] q;
1545
input                   rd;
1546
output                  fifo_empty;
1547
input                   rd_clk;
1548
input                   rd_rst;
1549
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
1550 18 unneback
vl_cnt_gray_ce_bin
1551 6 unneback
    # ( .length(addr_width))
1552
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
1553 18 unneback
vl_cnt_gray_ce_bin
1554 6 unneback
    # (.length(addr_width))
1555 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
1556 7 unneback
vl_dpram_1r1w
1557 6 unneback
    # (.data_width(data_width), .addr_width(addr_width))
1558
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
1559
vl_fifo_cmp_async
1560
    # (.addr_width(addr_width))
1561
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
1562
endmodule
1563 8 unneback
module vl_fifo_2r2w_async (
1564 6 unneback
    // a side
1565
    a_d, a_wr, a_fifo_full,
1566
    a_q, a_rd, a_fifo_empty,
1567
    a_clk, a_rst,
1568
    // b side
1569
    b_d, b_wr, b_fifo_full,
1570
    b_q, b_rd, b_fifo_empty,
1571
    b_clk, b_rst
1572
    );
1573
parameter data_width = 18;
1574
parameter addr_width = 4;
1575
// a side
1576
input  [data_width-1:0] a_d;
1577
input                   a_wr;
1578
output                  a_fifo_full;
1579
output [data_width-1:0] a_q;
1580
input                   a_rd;
1581
output                  a_fifo_empty;
1582
input                   a_clk;
1583
input                   a_rst;
1584
// b side
1585
input  [data_width-1:0] b_d;
1586
input                   b_wr;
1587
output                  b_fifo_full;
1588
output [data_width-1:0] b_q;
1589
input                   b_rd;
1590
output                  b_fifo_empty;
1591
input                   b_clk;
1592
input                   b_rst;
1593
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1594
vl_fifo_1r1w_async_a (
1595
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
1596
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
1597
    );
1598
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1599
vl_fifo_1r1w_async_b (
1600
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
1601
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
1602
    );
1603
endmodule
1604 8 unneback
module vl_fifo_2r2w_async_simplex (
1605 6 unneback
    // a side
1606
    a_d, a_wr, a_fifo_full,
1607
    a_q, a_rd, a_fifo_empty,
1608
    a_clk, a_rst,
1609
    // b side
1610
    b_d, b_wr, b_fifo_full,
1611
    b_q, b_rd, b_fifo_empty,
1612
    b_clk, b_rst
1613
    );
1614
parameter data_width = 18;
1615
parameter addr_width = 4;
1616
// a side
1617
input  [data_width-1:0] a_d;
1618
input                   a_wr;
1619
output                  a_fifo_full;
1620
output [data_width-1:0] a_q;
1621
input                   a_rd;
1622
output                  a_fifo_empty;
1623
input                   a_clk;
1624
input                   a_rst;
1625
// b side
1626
input  [data_width-1:0] b_d;
1627
input                   b_wr;
1628
output                  b_fifo_full;
1629
output [data_width-1:0] b_q;
1630
input                   b_rd;
1631
output                  b_fifo_empty;
1632
input                   b_clk;
1633
input                   b_rst;
1634
// adr_gen
1635
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
1636
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
1637
// dpram
1638
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1639 18 unneback
vl_cnt_gray_ce_bin
1640 6 unneback
    # ( .length(addr_width))
1641
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
1642 18 unneback
vl_cnt_gray_ce_bin
1643 6 unneback
    # (.length(addr_width))
1644
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
1645 18 unneback
vl_cnt_gray_ce_bin
1646 6 unneback
    # ( .length(addr_width))
1647
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
1648 18 unneback
vl_cnt_gray_ce_bin
1649 6 unneback
    # (.length(addr_width))
1650
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
1651
// mux read or write adr to DPRAM
1652
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
1653
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
1654 11 unneback
vl_dpram_2r2w
1655 6 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
1656
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1657
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1658 11 unneback
vl_fifo_cmp_async
1659 6 unneback
    # (.addr_width(addr_width))
1660
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
1661 11 unneback
vl_fifo_cmp_async
1662 6 unneback
    # (.addr_width(addr_width))
1663
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
1664
endmodule
1665 48 unneback
module vl_reg_file (
1666
    a1, a2, a3, wd3, we3, rd1, rd2, clk
1667
);
1668
parameter data_width = 32;
1669
parameter addr_width = 5;
1670
input [addr_width-1:0] a1, a2, a3;
1671
input [data_width-1:0] wd3;
1672
input we3;
1673
output [data_width-1:0] rd1, rd2;
1674
input clk;
1675
vl_dpram_1r1w
1676
    # ( .data_width(data_width), .addr_width(addr_width))
1677
    ram1 (
1678
        .d_a(wd3),
1679
        .adr_a(a3),
1680
        .we_a(we3),
1681
        .clk_a(clk),
1682
        .q_b(rd1),
1683
        .adr_b(a1),
1684
        .clk_b(clk) );
1685
vl_dpram_1r1w
1686
    # ( .data_width(data_width), .addr_width(addr_width))
1687
    ram2 (
1688
        .d_a(wd3),
1689
        .adr_a(a3),
1690
        .we_a(we3),
1691
        .clk_a(clk),
1692
        .q_b(rd2),
1693
        .adr_b(a2),
1694
        .clk_b(clk) );
1695
endmodule
1696 12 unneback
//////////////////////////////////////////////////////////////////////
1697
////                                                              ////
1698
////  Versatile library, wishbone stuff                           ////
1699
////                                                              ////
1700
////  Description                                                 ////
1701
////  Wishbone compliant modules                                  ////
1702
////                                                              ////
1703
////                                                              ////
1704
////  To Do:                                                      ////
1705
////   -                                                          ////
1706
////                                                              ////
1707
////  Author(s):                                                  ////
1708
////      - Michael Unneback, unneback@opencores.org              ////
1709
////        ORSoC AB                                              ////
1710
////                                                              ////
1711
//////////////////////////////////////////////////////////////////////
1712
////                                                              ////
1713
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1714
////                                                              ////
1715
//// This source file may be used and distributed without         ////
1716
//// restriction provided that this copyright statement is not    ////
1717
//// removed from the file and that any derivative work contains  ////
1718
//// the original copyright notice and the associated disclaimer. ////
1719
////                                                              ////
1720
//// This source file is free software; you can redistribute it   ////
1721
//// and/or modify it under the terms of the GNU Lesser General   ////
1722
//// Public License as published by the Free Software Foundation; ////
1723
//// either version 2.1 of the License, or (at your option) any   ////
1724
//// later version.                                               ////
1725
////                                                              ////
1726
//// This source is distributed in the hope that it will be       ////
1727
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1728
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1729
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1730
//// details.                                                     ////
1731
////                                                              ////
1732
//// You should have received a copy of the GNU Lesser General    ////
1733
//// Public License along with this source; if not, download it   ////
1734
//// from http://www.opencores.org/lgpl.shtml                     ////
1735
////                                                              ////
1736
//////////////////////////////////////////////////////////////////////
1737
// async wb3 - wb3 bridge
1738
`timescale 1ns/1ns
1739 18 unneback
module vl_wb3wb3_bridge (
1740 12 unneback
        // wishbone slave side
1741
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
1742
        // wishbone master side
1743
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
1744
input [31:0] wbs_dat_i;
1745
input [31:2] wbs_adr_i;
1746
input [3:0]  wbs_sel_i;
1747
input [1:0]  wbs_bte_i;
1748
input [2:0]  wbs_cti_i;
1749
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
1750
output [31:0] wbs_dat_o;
1751 14 unneback
output wbs_ack_o;
1752 12 unneback
input wbs_clk, wbs_rst;
1753
output [31:0] wbm_dat_o;
1754
output reg [31:2] wbm_adr_o;
1755
output [3:0]  wbm_sel_o;
1756
output reg [1:0]  wbm_bte_o;
1757
output reg [2:0]  wbm_cti_o;
1758 14 unneback
output reg wbm_we_o;
1759
output wbm_cyc_o;
1760 12 unneback
output wbm_stb_o;
1761
input [31:0]  wbm_dat_i;
1762
input wbm_ack_i;
1763
input wbm_clk, wbm_rst;
1764
parameter addr_width = 4;
1765
// bte
1766
parameter linear       = 2'b00;
1767
parameter wrap4        = 2'b01;
1768
parameter wrap8        = 2'b10;
1769
parameter wrap16       = 2'b11;
1770
// cti
1771
parameter classic      = 3'b000;
1772
parameter incburst     = 3'b010;
1773
parameter endofburst   = 3'b111;
1774
parameter wbs_adr  = 1'b0;
1775
parameter wbs_data = 1'b1;
1776 33 unneback
parameter wbm_adr0      = 2'b00;
1777
parameter wbm_adr1      = 2'b01;
1778
parameter wbm_data      = 2'b10;
1779
parameter wbm_data_wait = 2'b11;
1780 12 unneback
reg [1:0] wbs_bte_reg;
1781
reg wbs;
1782
wire wbs_eoc_alert, wbm_eoc_alert;
1783
reg wbs_eoc, wbm_eoc;
1784
reg [1:0] wbm;
1785 14 unneback
wire [1:16] wbs_count, wbm_count;
1786 12 unneback
wire [35:0] a_d, a_q, b_d, b_q;
1787
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
1788
reg a_rd_reg;
1789
wire b_rd_adr, b_rd_data;
1790 14 unneback
wire b_rd_data_reg;
1791
wire [35:0] temp;
1792 12 unneback
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
1793
always @ (posedge wbs_clk or posedge wbs_rst)
1794
if (wbs_rst)
1795
        wbs_eoc <= 1'b0;
1796
else
1797
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
1798
                wbs_eoc <= wbs_bte_i==linear;
1799
        else if (wbs_eoc_alert & (a_rd | a_wr))
1800
                wbs_eoc <= 1'b1;
1801 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
1802 12 unneback
    cnt0 (
1803
        .cke(wbs_ack_o),
1804
        .clear(wbs_eoc),
1805
        .q(wbs_count),
1806
        .rst(wbs_rst),
1807
        .clk(wbs_clk));
1808
always @ (posedge wbs_clk or posedge wbs_rst)
1809
if (wbs_rst)
1810
        wbs <= wbs_adr;
1811
else
1812
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & !a_fifo_full)
1813
                wbs <= wbs_data;
1814
        else if (wbs_eoc & wbs_ack_o)
1815
                wbs <= wbs_adr;
1816
// wbs FIFO
1817
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,wbs_bte_i,wbs_cti_i} : {wbs_dat_i,wbs_sel_i};
1818
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & !a_fifo_full :
1819
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
1820
              1'b0;
1821
assign a_rd = !a_fifo_empty;
1822
always @ (posedge wbs_clk or posedge wbs_rst)
1823
if (wbs_rst)
1824
        a_rd_reg <= 1'b0;
1825
else
1826
        a_rd_reg <= a_rd;
1827
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
1828
assign wbs_dat_o = a_q[35:4];
1829
always @ (posedge wbs_clk or posedge wbs_rst)
1830
if (wbs_rst)
1831 13 unneback
        wbs_bte_reg <= 2'b00;
1832 12 unneback
else
1833 13 unneback
        wbs_bte_reg <= wbs_bte_i;
1834 12 unneback
// wbm FIFO
1835
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
1836
always @ (posedge wbm_clk or posedge wbm_rst)
1837
if (wbm_rst)
1838
        wbm_eoc <= 1'b0;
1839
else
1840
        if (wbm==wbm_adr0 & !b_fifo_empty)
1841
                wbm_eoc <= b_q[4:3] == linear;
1842
        else if (wbm_eoc_alert & wbm_ack_i)
1843
                wbm_eoc <= 1'b1;
1844
always @ (posedge wbm_clk or posedge wbm_rst)
1845
if (wbm_rst)
1846
        wbm <= wbm_adr0;
1847
else
1848 33 unneback
/*
1849 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
1850
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
1851
        (wbm==wbm_adr1 & !wbm_we_o) |
1852
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
1853
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
1854 33 unneback
*/
1855
    case (wbm)
1856
    wbm_adr0:
1857
        if (!b_fifo_empty)
1858
            wbm <= wbm_adr1;
1859
    wbm_adr1:
1860
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
1861
            wbm <= wbm_data;
1862
    wbm_data:
1863
        if (wbm_ack_i & wbm_eoc)
1864
            wbm <= wbm_adr0;
1865
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
1866
            wbm <= wbm_data_wait;
1867
    wbm_data_wait:
1868
        if (!b_fifo_empty)
1869
            wbm <= wbm_data;
1870
    endcase
1871 12 unneback
assign b_d = {wbm_dat_i,4'b1111};
1872
assign b_wr = !wbm_we_o & wbm_ack_i;
1873
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
1874
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
1875
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
1876 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
1877 12 unneback
                   1'b0;
1878
assign b_rd = b_rd_adr | b_rd_data;
1879 18 unneback
vl_dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
1880
vl_dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
1881 12 unneback
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
1882 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
1883 12 unneback
    cnt1 (
1884
        .cke(wbm_ack_i),
1885
        .clear(wbm_eoc),
1886
        .q(wbm_count),
1887
        .rst(wbm_rst),
1888
        .clk(wbm_clk));
1889 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
1890
assign wbm_stb_o = (wbm==wbm_data);
1891 12 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
1892
if (wbm_rst)
1893
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
1894
else begin
1895
        if (wbm==wbm_adr0 & !b_fifo_empty)
1896
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
1897
        else if (wbm_eoc_alert & wbm_ack_i)
1898
                wbm_cti_o <= endofburst;
1899
end
1900
//async_fifo_dw_simplex_top
1901
vl_fifo_2r2w_async_simplex
1902
# ( .data_width(36), .addr_width(addr_width))
1903
fifo (
1904
    // a side
1905
    .a_d(a_d),
1906
    .a_wr(a_wr),
1907
    .a_fifo_full(a_fifo_full),
1908
    .a_q(a_q),
1909
    .a_rd(a_rd),
1910
    .a_fifo_empty(a_fifo_empty),
1911
    .a_clk(wbs_clk),
1912
    .a_rst(wbs_rst),
1913
    // b side
1914
    .b_d(b_d),
1915
    .b_wr(b_wr),
1916
    .b_fifo_full(b_fifo_full),
1917
    .b_q(b_q),
1918
    .b_rd(b_rd),
1919
    .b_fifo_empty(b_fifo_empty),
1920
    .b_clk(wbm_clk),
1921
    .b_rst(wbm_rst)
1922
    );
1923
endmodule
1924 39 unneback
module vl_wb3_arbiter_type1 (
1925
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
1926
    wbm_dat_i, wbm_ack_i, wbm_err_i, wbm_rty_i,
1927
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
1928
    wbs_dat_o, wbs_ack_o, wbs_err_o, wbs_rty_o,
1929
    wb_clk, wb_rst
1930
);
1931
parameter nr_of_ports = 3;
1932
parameter adr_size = 26;
1933
parameter adr_lo   = 2;
1934
parameter dat_size = 32;
1935
parameter sel_size = dat_size/8;
1936
localparam aw = (adr_size - adr_lo) * nr_of_ports;
1937
localparam dw = dat_size * nr_of_ports;
1938
localparam sw = sel_size * nr_of_ports;
1939
localparam cw = 3 * nr_of_ports;
1940
localparam bw = 2 * nr_of_ports;
1941
input  [dw-1:0] wbm_dat_o;
1942
input  [aw-1:0] wbm_adr_o;
1943
input  [sw-1:0] wbm_sel_o;
1944
input  [cw-1:0] wbm_cti_o;
1945
input  [bw-1:0] wbm_bte_o;
1946
input  [nr_of_ports-1:0] wbm_we_o, wbm_stb_o, wbm_cyc_o;
1947
output [dw-1:0] wbm_dat_i;
1948
output [nr_of_ports-1:0] wbm_ack_i, wbm_err_i, wbm_rty_i;
1949
output [dat_size-1:0] wbs_dat_i;
1950
output [adr_size-1:adr_lo] wbs_adr_i;
1951
output [sel_size-1:0] wbs_sel_i;
1952
output [2:0] wbs_cti_i;
1953
output [1:0] wbs_bte_i;
1954
output wbs_we_i, wbs_stb_i, wbs_cyc_i;
1955
input  [dat_size-1:0] wbs_dat_o;
1956
input  wbs_ack_o, wbs_err_o, wbs_rty_o;
1957
input wb_clk, wb_rst;
1958 44 unneback
reg  [nr_of_ports-1:0] select;
1959 39 unneback
wire [nr_of_ports-1:0] state;
1960
wire [nr_of_ports-1:0] eoc; // end-of-cycle
1961
wire [nr_of_ports-1:0] sel;
1962
wire idle;
1963
genvar i;
1964
assign idle = !(|state);
1965
generate
1966
if (nr_of_ports == 2) begin
1967
    wire [2:0] wbm1_cti_o, wbm0_cti_o;
1968
    assign {wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
1969 44 unneback
    //assign select = (idle) ? {wbm_cyc_o[1],!wbm_cyc_o[1] & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
1970
    always @ (idle or wbm_cyc_o)
1971
    if (idle)
1972
        casex (wbm_cyc_o)
1973
        2'b1x : select = 2'b10;
1974
        2'b01 : select = 2'b01;
1975
        default : select = {nr_of_ports{1'b0}};
1976
        endcase
1977
    else
1978
        select = {nr_of_ports{1'b0}};
1979 39 unneback
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
1980
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
1981
end
1982
endgenerate
1983
generate
1984
if (nr_of_ports == 3) begin
1985
    wire [2:0] wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
1986
    assign {wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
1987 44 unneback
    always @ (idle or wbm_cyc_o)
1988
    if (idle)
1989
        casex (wbm_cyc_o)
1990
        3'b1xx : select = 3'b100;
1991
        3'b01x : select = 3'b010;
1992
        3'b001 : select = 3'b001;
1993
        default : select = {nr_of_ports{1'b0}};
1994
        endcase
1995
    else
1996
        select = {nr_of_ports{1'b0}};
1997
//    assign select = (idle) ? {wbm_cyc_o[2],!wbm_cyc_o[2] & wbm_cyc_o[1],wbm_cyc_o[2:1]==2'b00 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
1998 39 unneback
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
1999
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2000
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2001
end
2002
endgenerate
2003
generate
2004 44 unneback
if (nr_of_ports == 4) begin
2005
    wire [2:0] wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2006
    assign {wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2007
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2008
    always @ (idle or wbm_cyc_o)
2009
    if (idle)
2010
        casex (wbm_cyc_o)
2011
        4'b1xxx : select = 4'b1000;
2012
        4'b01xx : select = 4'b0100;
2013
        4'b001x : select = 4'b0010;
2014
        4'b0001 : select = 4'b0001;
2015
        default : select = {nr_of_ports{1'b0}};
2016
        endcase
2017
    else
2018
        select = {nr_of_ports{1'b0}};
2019
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2020
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2021
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2022
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2023
end
2024
endgenerate
2025
generate
2026
if (nr_of_ports == 5) begin
2027
    wire [2:0] wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2028
    assign {wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2029
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2030
    always @ (idle or wbm_cyc_o)
2031
    if (idle)
2032
        casex (wbm_cyc_o)
2033
        5'b1xxxx : select = 5'b10000;
2034
        5'b01xxx : select = 5'b01000;
2035
        5'b001xx : select = 5'b00100;
2036
        5'b0001x : select = 5'b00010;
2037
        5'b00001 : select = 5'b00001;
2038
        default : select = {nr_of_ports{1'b0}};
2039
        endcase
2040
    else
2041
        select = {nr_of_ports{1'b0}};
2042
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2043
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2044
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2045
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2046
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2047
end
2048
endgenerate
2049
generate
2050 63 unneback
for (i=0;i<nr_of_ports;i=i+1) begin : spr0
2051 39 unneback
    vl_spr sr0( .sp(select[i]), .r(eoc[i]), .q(state[i]), .clk(wb_clk), .rst(wb_rst));
2052
end
2053
endgenerate
2054
    assign sel = select | state;
2055
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(32)) mux0 ( .a(wbm_dat_o), .sel(sel), .dout(wbs_dat_i));
2056
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(adr_size-adr_lo)) mux1 ( .a(wbm_adr_o), .sel(sel), .dout(wbs_adr_i));
2057
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(sel_size)) mux2 ( .a(wbm_sel_o), .sel(sel), .dout(wbs_sel_i));
2058
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(3)) mux3 ( .a(wbm_cti_o), .sel(sel), .dout(wbs_cti_i));
2059
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(2)) mux4 ( .a(wbm_bte_o), .sel(sel), .dout(wbs_bte_i));
2060
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux5 ( .a(wbm_we_o), .sel(sel), .dout(wbs_we_i));
2061
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux6 ( .a(wbm_stb_o), .sel(sel), .dout(wbs_stb_i));
2062
    assign wbs_cyc_i = |sel;
2063
    assign wbm_dat_i = {nr_of_ports{wbs_dat_o}};
2064
    assign wbm_ack_i = {nr_of_ports{wbs_ack_o}} & sel;
2065
    assign wbm_err_i = {nr_of_ports{wbs_err_o}} & sel;
2066
    assign wbm_rty_i = {nr_of_ports{wbs_rty_o}} & sel;
2067
endmodule
2068 49 unneback
// WB RAM with byte enable
2069 59 unneback
module vl_wb_b3_ram_be (
2070 61 unneback
    wb_dat_i, wb_adr_i, wb_cti_i, wb_bte_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
2071 59 unneback
    wb_dat_o, wb_ack_o, wb_clk, wb_rst);
2072 60 unneback
parameter nr_of_ports = 3;
2073
parameter wb_arbiter_type = 1;
2074
parameter adr_size = 26;
2075
parameter adr_lo   = 2;
2076
parameter dat_size = 32;
2077
parameter memory_init = 1;
2078
parameter memory_file = "vl_ram.vmem";
2079
localparam aw = (adr_size - adr_lo) * nr_of_ports;
2080
localparam dw = dat_size * nr_of_ports;
2081
localparam sw = dat_size/8 * nr_of_ports;
2082
localparam cw = 3 * nr_of_ports;
2083
localparam bw = 2 * nr_of_ports;
2084
input [dw-1:0] wb_dat_i;
2085
input [aw-1:0] wb_adr_i;
2086
input [cw-1:0] wb_cti_i;
2087 61 unneback
input [bw-1:0] wb_bte_i;
2088 60 unneback
input [sw-1:0] wb_sel_i;
2089
input [nr_of_ports-1:0] wb_we_i, wb_stb_i, wb_cyc_i;
2090
output [dw-1:0] wb_dat_o;
2091 59 unneback
output wb_ack_o;
2092
input wb_clk, wb_rst;
2093 60 unneback
wire [sw-1:0] cke;
2094
// local wb slave
2095
wire [dat_size-1:0] wbs_dat_i;
2096
wire [adr_size-1:0] wbs_adr_i;
2097
wire [2:0] wbs_cti_i;
2098 61 unneback
wire [1:0] wbs_bte_i;
2099 60 unneback
wire [(dat_size/8)-1:0] wbs_sel_i;
2100
wire  wbs_we_i, wbs_stb_i, wbs_cyc_i;
2101
wire [dat_size-1:0] wbs_dat_o;
2102
reg wbs_ack_o;
2103 59 unneback
generate
2104 60 unneback
if (nr_of_ports == 1) begin
2105
    assign wbs_dat_i = wb_dat_i;
2106
    assign wbs_adr_i = wb_adr_i;
2107
    assign wbs_cti_i = wb_cti_i;
2108
    assign wbs_sel_i = wb_sel_i;
2109
    assign wbs_we_i  = wb_we_i;
2110
    assign wbs_stb_i = wb_stb_i;
2111
    assign wbs_cyc_i = wb_cyc_i;
2112
    assign wb_dat_o  = wbs_dat_o;
2113
    assign wb_ack_o  = wbs_ack_o;
2114 59 unneback
end
2115
endgenerate
2116 60 unneback
generate
2117
if (nr_of_ports > 1 & wb_arbiter_type == 1) begin
2118
vl_wb3_arbiter_type1 wb_arbiter0(
2119
    .wbm_dat_o(wb_dat_i),
2120
    .wbm_adr_o(wb_adr_i),
2121
    .wbm_sel_o(wb_sel_i),
2122
    .wbm_cti_o(wb_cti_i),
2123
    .wbm_bte_o(wb_bte_i),
2124
    .wbm_we_o(wb_we_i),
2125
    .wbm_stb_o(wb_stb_i),
2126
    .wbm_cyc_o(wb_cyc_i),
2127
    .wbm_dat_i(wb_dat_o),
2128
    .wbm_ack_i(wb_ack_o),
2129
    .wbm_err_i(),
2130
    .wbm_rty_i(),
2131
    .wbs_dat_i(wbs_dat_i),
2132
    .wbs_adr_i(wbs_adr_i),
2133
    .wbs_sel_i(wbs_sel_i),
2134
    .wbs_cti_i(wbs_cti_i),
2135
    .wbs_bte_i(wbs_bte_i),
2136
    .wbs_we_i(wbs_we_i),
2137
    .wbs_stb_i(wbs_stb_i),
2138
    .wbs_cyc_i(wbs_cyc_i),
2139
    .wbs_dat_o(wbs_dat_o),
2140
    .wbs_ack_o(wbs_ack_o),
2141
    .wbs_err_o(1'b0),
2142
    .wbs_rty_o(1'b0),
2143
    .wb_clk(wb_clk),
2144
    .wb_rst(wb_rst)
2145
);
2146
end
2147
endgenerate
2148
vl_ram_be # (
2149
    .data_width(dat_size),
2150
    .addr_width(adr_size),
2151
    .memory_init(1),
2152
    .memory_file("memory_file"))
2153
ram0(
2154
    .d(wbs_dat_i),
2155
    .adr(wbs_adr_i[adr_size-1:2]),
2156
    .be(wbs_sel_i),
2157
    .we(wbs_we_i),
2158
    .q(wbs_dat_o),
2159
    .clk(wb_clk)
2160
);
2161 59 unneback
always @ (posedge wb_clk or posedge wb_rst)
2162
if (wb_rst)
2163 60 unneback
    wbs_ack_o <= 1'b0;
2164 59 unneback
else
2165 60 unneback
    if (wbs_cti_i==3'b000 | wbs_cti_i==3'b111)
2166
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i & !wbs_ack_o;
2167 59 unneback
    else
2168 60 unneback
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i;
2169 59 unneback
endmodule
2170
// WB RAM with byte enable
2171 49 unneback
module vl_wb_b4_ram_be (
2172
    wb_dat_i, wb_adr_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
2173 52 unneback
    wb_dat_o, wb_stall_o, wb_ack_o, wb_clk, wb_rst);
2174 49 unneback
    parameter dat_width = 32;
2175
    parameter adr_width = 8;
2176
input [dat_width-1:0] wb_dat_i;
2177
input [adr_width-1:0] wb_adr_i;
2178
input [dat_width/8-1:0] wb_sel_i;
2179
input wb_we_i, wb_stb_i, wb_cyc_i;
2180
output [dat_width-1:0] wb_dat_o;
2181 51 unneback
reg [dat_width-1:0] wb_dat_o;
2182 52 unneback
output wb_stall_o;
2183 49 unneback
output wb_ack_o;
2184
reg wb_ack_o;
2185
input wb_clk, wb_rst;
2186 56 unneback
wire [dat_width/8-1:0] cke;
2187 49 unneback
generate
2188
if (dat_width==32) begin
2189 51 unneback
reg [7:0] ram3 [1<<(adr_width-2)-1:0];
2190
reg [7:0] ram2 [1<<(adr_width-2)-1:0];
2191
reg [7:0] ram1 [1<<(adr_width-2)-1:0];
2192
reg [7:0] ram0 [1<<(adr_width-2)-1:0];
2193 56 unneback
assign cke = wb_sel_i & {(dat_width/8){wb_we_i}};
2194 49 unneback
    always @ (posedge wb_clk)
2195
    begin
2196 56 unneback
        if (cke[3]) ram3[wb_adr_i[adr_width-1:2]] <= wb_dat_i[31:24];
2197
        if (cke[2]) ram2[wb_adr_i[adr_width-1:2]] <= wb_dat_i[23:16];
2198
        if (cke[1]) ram1[wb_adr_i[adr_width-1:2]] <= wb_dat_i[15:8];
2199
        if (cke[0]) ram0[wb_adr_i[adr_width-1:2]] <= wb_dat_i[7:0];
2200 49 unneback
    end
2201 59 unneback
    always @ (posedge wb_clk or posedge wb_rst)
2202
    begin
2203
        if (wb_rst)
2204
            wb_dat_o <= 32'h0;
2205
        else
2206
            wb_dat_o <= {ram3[wb_adr_i[adr_width-1:2]],ram2[wb_adr_i[adr_width-1:2]],ram1[wb_adr_i[adr_width-1:2]],ram0[wb_adr_i[adr_width-1:2]]};
2207
    end
2208 49 unneback
end
2209
endgenerate
2210 52 unneback
always @ (posedge wb_clk or posedge wb_rst)
2211 55 unneback
if (wb_rst)
2212 52 unneback
    wb_ack_o <= 1'b0;
2213
else
2214 54 unneback
    wb_ack_o <= wb_stb_i & wb_cyc_i;
2215 52 unneback
assign wb_stall_o = 1'b0;
2216 49 unneback
endmodule
2217 17 unneback
// WB ROM
2218 48 unneback
module vl_wb_b4_rom (
2219
    wb_adr_i, wb_stb_i, wb_cyc_i,
2220
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
2221
    parameter dat_width = 32;
2222
    parameter dat_default = 32'h15000000;
2223
    parameter adr_width = 32;
2224
/*
2225
`ifndef ROM
2226
`define ROM "rom.v"
2227
`endif
2228
*/
2229
    input [adr_width-1:2]   wb_adr_i;
2230
    input                   wb_stb_i;
2231
    input                   wb_cyc_i;
2232
    output [dat_width-1:0]  wb_dat_o;
2233
    reg [dat_width-1:0]     wb_dat_o;
2234
    output                  wb_ack_o;
2235
    reg                     wb_ack_o;
2236
    output                  stall_o;
2237
    input                   wb_clk;
2238
    input                   wb_rst;
2239
always @ (posedge wb_clk or posedge wb_rst)
2240
    if (wb_rst)
2241
        wb_dat_o <= {dat_width{1'b0}};
2242
    else
2243
         case (wb_adr_i[adr_width-1:2])
2244
`ifdef ROM
2245
`include `ROM
2246
`endif
2247
           default:
2248
             wb_dat_o <= dat_default;
2249
         endcase // case (wb_adr_i)
2250
always @ (posedge wb_clk or posedge wb_rst)
2251
    if (wb_rst)
2252
        wb_ack_o <= 1'b0;
2253
    else
2254
        wb_ack_o <= wb_stb_i & wb_cyc_i;
2255
assign stall_o = 1'b0;
2256
endmodule
2257
// WB ROM
2258 18 unneback
module vl_wb_boot_rom (
2259 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
2260 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
2261
    parameter adr_hi = 31;
2262
    parameter adr_lo = 28;
2263
    parameter adr_sel = 4'hf;
2264
    parameter addr_width = 5;
2265 33 unneback
/*
2266
`ifndef BOOT_ROM
2267
`define BOOT_ROM "boot_rom.v"
2268
`endif
2269
*/
2270 18 unneback
    input [adr_hi:2]    wb_adr_i;
2271
    input               wb_stb_i;
2272
    input               wb_cyc_i;
2273
    output [31:0]        wb_dat_o;
2274
    output              wb_ack_o;
2275
    output              hit_o;
2276
    input               wb_clk;
2277
    input               wb_rst;
2278
    wire hit;
2279
    reg [31:0] wb_dat;
2280
    reg wb_ack;
2281
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
2282 17 unneback
always @ (posedge wb_clk or posedge wb_rst)
2283
    if (wb_rst)
2284 18 unneback
        wb_dat <= 32'h15000000;
2285 17 unneback
    else
2286 18 unneback
         case (wb_adr_i[addr_width-1:2])
2287 33 unneback
`ifdef BOOT_ROM
2288
`include `BOOT_ROM
2289
`endif
2290 17 unneback
           /*
2291
            // Zero r0 and jump to 0x00000100
2292 18 unneback
 
2293
            1 : wb_dat <= 32'hA8200000;
2294
            2 : wb_dat <= 32'hA8C00100;
2295
            3 : wb_dat <= 32'h44003000;
2296
            4 : wb_dat <= 32'h15000000;
2297 17 unneback
            */
2298
           default:
2299 18 unneback
             wb_dat <= 32'h00000000;
2300 17 unneback
         endcase // case (wb_adr_i)
2301
always @ (posedge wb_clk or posedge wb_rst)
2302
    if (wb_rst)
2303 18 unneback
        wb_ack <= 1'b0;
2304 17 unneback
    else
2305 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
2306
assign hit_o = hit;
2307
assign wb_dat_o = wb_dat & {32{wb_ack}};
2308
assign wb_ack_o = wb_ack;
2309 17 unneback
endmodule
2310 32 unneback
module vl_wb_dpram (
2311
        // wishbone slave side a
2312
        wbsa_dat_i, wbsa_adr_i, wbsa_we_i, wbsa_cyc_i, wbsa_stb_i, wbsa_dat_o, wbsa_ack_o,
2313
        wbsa_clk, wbsa_rst,
2314
        // wishbone slave side a
2315
        wbsb_dat_i, wbsb_adr_i, wbsb_we_i, wbsb_cyc_i, wbsb_stb_i, wbsb_dat_o, wbsb_ack_o,
2316
        wbsb_clk, wbsb_rst);
2317
parameter data_width = 32;
2318
parameter addr_width = 8;
2319
parameter dat_o_mask_a = 1;
2320
parameter dat_o_mask_b = 1;
2321
input [31:0] wbsa_dat_i;
2322
input [addr_width-1:2] wbsa_adr_i;
2323
input wbsa_we_i, wbsa_cyc_i, wbsa_stb_i;
2324
output [31:0] wbsa_dat_o;
2325
output wbsa_ack_o;
2326
input wbsa_clk, wbsa_rst;
2327
input [31:0] wbsb_dat_i;
2328
input [addr_width-1:2] wbsb_adr_i;
2329
input wbsb_we_i, wbsb_cyc_i, wbsb_stb_i;
2330
output [31:0] wbsb_dat_o;
2331
output wbsb_ack_o;
2332
input wbsb_clk, wbsb_rst;
2333
wire wbsa_dat_tmp, wbsb_dat_tmp;
2334
vl_dpram_2r2w # (
2335 33 unneback
    .data_width(data_width), .addr_width(addr_width) )
2336 32 unneback
dpram0(
2337
    .d_a(wbsa_dat_i),
2338
    .q_a(wbsa_dat_tmp),
2339
    .adr_a(wbsa_adr_i),
2340
    .we_a(wbsa_we_i),
2341
    .clk_a(wbsa_clk),
2342
    .d_b(wbsb_dat_i),
2343
    .q_b(wbsb_dat_tmp),
2344
    .adr_b(wbsb_adr_i),
2345
    .we_b(wbsb_we_i),
2346
    .clk_b(wbsb_clk) );
2347 33 unneback
generate if (dat_o_mask_a==1)
2348 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp & {data_width{wbsa_ack_o}};
2349
endgenerate
2350 33 unneback
generate if (dat_o_mask_a==0)
2351 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp;
2352
endgenerate
2353 33 unneback
generate if (dat_o_mask_b==1)
2354 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp & {data_width{wbsb_ack_o}};
2355
endgenerate
2356 33 unneback
generate if (dat_o_mask_b==0)
2357 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp;
2358
endgenerate
2359
vl_spr ack_a( .sp(wbsa_cyc_i & wbsa_stb_i & !wbsa_ack_o), .r(1'b1), .q(wbsa_ack_o), .clk(wbsa_clk), .rst(wbsa_rst));
2360
vl_spr ack_b( .sp(wbsb_cyc_i & wbsb_stb_i & !wbsb_ack_o), .r(1'b1), .q(wbsb_ack_o), .clk(wbsb_clk), .rst(wbsb_rst));
2361
endmodule
2362 18 unneback
//////////////////////////////////////////////////////////////////////
2363
////                                                              ////
2364
////  Arithmetic functions                                        ////
2365
////                                                              ////
2366
////  Description                                                 ////
2367
////  Arithmetic functions for ALU and DSP                        ////
2368
////                                                              ////
2369
////                                                              ////
2370
////  To Do:                                                      ////
2371
////   -                                                          ////
2372
////                                                              ////
2373
////  Author(s):                                                  ////
2374
////      - Michael Unneback, unneback@opencores.org              ////
2375
////        ORSoC AB                                              ////
2376
////                                                              ////
2377
//////////////////////////////////////////////////////////////////////
2378
////                                                              ////
2379
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2380
////                                                              ////
2381
//// This source file may be used and distributed without         ////
2382
//// restriction provided that this copyright statement is not    ////
2383
//// removed from the file and that any derivative work contains  ////
2384
//// the original copyright notice and the associated disclaimer. ////
2385
////                                                              ////
2386
//// This source file is free software; you can redistribute it   ////
2387
//// and/or modify it under the terms of the GNU Lesser General   ////
2388
//// Public License as published by the Free Software Foundation; ////
2389
//// either version 2.1 of the License, or (at your option) any   ////
2390
//// later version.                                               ////
2391
////                                                              ////
2392
//// This source is distributed in the hope that it will be       ////
2393
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2394
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2395
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2396
//// details.                                                     ////
2397
////                                                              ////
2398
//// You should have received a copy of the GNU Lesser General    ////
2399
//// Public License along with this source; if not, download it   ////
2400
//// from http://www.opencores.org/lgpl.shtml                     ////
2401
////                                                              ////
2402
//////////////////////////////////////////////////////////////////////
2403
// signed multiplication
2404
module vl_mults (a,b,p);
2405
parameter operand_a_width = 18;
2406
parameter operand_b_width = 18;
2407
parameter result_hi = 35;
2408
parameter result_lo = 0;
2409
input [operand_a_width-1:0] a;
2410
input [operand_b_width-1:0] b;
2411
output [result_hi:result_lo] p;
2412
wire signed [operand_a_width-1:0] ai;
2413
wire signed [operand_b_width-1:0] bi;
2414
wire signed [operand_a_width+operand_b_width-1:0] result;
2415
    assign ai = a;
2416
    assign bi = b;
2417
    assign result = ai * bi;
2418
    assign p = result[result_hi:result_lo];
2419
endmodule
2420
module vl_mults18x18 (a,b,p);
2421
input [17:0] a,b;
2422
output [35:0] p;
2423
vl_mult
2424
    # (.operand_a_width(18), .operand_b_width(18))
2425
    mult0 (.a(a), .b(b), .p(p));
2426
endmodule
2427
// unsigned multiplication
2428
module vl_mult (a,b,p);
2429
parameter operand_a_width = 18;
2430
parameter operand_b_width = 18;
2431
parameter result_hi = 35;
2432
parameter result_lo = 0;
2433
input [operand_a_width-1:0] a;
2434
input [operand_b_width-1:0] b;
2435
output [result_hi:result_hi] p;
2436
wire [operand_a_width+operand_b_width-1:0] result;
2437
    assign result = a * b;
2438
    assign p = result[result_hi:result_lo];
2439
endmodule
2440
// shift unit
2441
// supporting the following shift functions
2442
//   SLL
2443
//   SRL
2444
//   SRA
2445
module vl_shift_unit_32( din, s, dout, opcode);
2446
input [31:0] din; // data in operand
2447
input [4:0] s; // shift operand
2448
input [1:0] opcode;
2449
output [31:0] dout;
2450
parameter opcode_sll = 2'b00;
2451
//parameter opcode_srl = 2'b01;
2452
parameter opcode_sra = 2'b10;
2453
//parameter opcode_ror = 2'b11;
2454
wire sll, sra;
2455
assign sll = opcode == opcode_sll;
2456
assign sra = opcode == opcode_sra;
2457
wire [15:1] s1;
2458
wire [3:0] sign;
2459
wire [7:0] tmp [0:3];
2460
// first stage is multiplier based
2461
// shift operand as fractional 8.7
2462
assign s1[15] = sll & s[2:0]==3'd7;
2463
assign s1[14] = sll & s[2:0]==3'd6;
2464
assign s1[13] = sll & s[2:0]==3'd5;
2465
assign s1[12] = sll & s[2:0]==3'd4;
2466
assign s1[11] = sll & s[2:0]==3'd3;
2467
assign s1[10] = sll & s[2:0]==3'd2;
2468
assign s1[ 9] = sll & s[2:0]==3'd1;
2469
assign s1[ 8] = s[2:0]==3'd0;
2470
assign s1[ 7] = !sll & s[2:0]==3'd1;
2471
assign s1[ 6] = !sll & s[2:0]==3'd2;
2472
assign s1[ 5] = !sll & s[2:0]==3'd3;
2473
assign s1[ 4] = !sll & s[2:0]==3'd4;
2474
assign s1[ 3] = !sll & s[2:0]==3'd5;
2475
assign s1[ 2] = !sll & s[2:0]==3'd6;
2476
assign s1[ 1] = !sll & s[2:0]==3'd7;
2477
assign sign[3] = din[31] & sra;
2478
assign sign[2] = sign[3] & (&din[31:24]);
2479
assign sign[1] = sign[2] & (&din[23:16]);
2480
assign sign[0] = sign[1] & (&din[15:8]);
2481
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte3 ( .a({sign[3], {8{sign[3]}},din[31:24], din[23:16]}), .b({1'b0,s1}), .p(tmp[3]));
2482
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte2 ( .a({sign[2], din[31:24]  ,din[23:16],  din[15:8]}), .b({1'b0,s1}), .p(tmp[2]));
2483
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte1 ( .a({sign[1], din[23:16]  ,din[15:8],   din[7:0]}), .b({1'b0,s1}), .p(tmp[1]));
2484
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte0 ( .a({sign[0], din[15:8]   ,din[7:0],    8'h00}),      .b({1'b0,s1}), .p(tmp[0]));
2485
// second stage is multiplexer based
2486
// shift on byte level
2487
// mux byte 3
2488
assign dout[31:24] = (s[4:3]==2'b00) ? tmp[3] :
2489
                     (sll & s[4:3]==2'b01) ? tmp[2] :
2490
                     (sll & s[4:3]==2'b10) ? tmp[1] :
2491
                     (sll & s[4:3]==2'b11) ? tmp[0] :
2492
                     {8{sign[3]}};
2493
// mux byte 2
2494
assign dout[23:16] = (s[4:3]==2'b00) ? tmp[2] :
2495
                     (sll & s[4:3]==2'b01) ? tmp[1] :
2496
                     (sll & s[4:3]==2'b10) ? tmp[0] :
2497
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2498
                     (s[4:3]==2'b01) ? tmp[3] :
2499
                     {8{sign[3]}};
2500
// mux byte 1
2501
assign dout[15:8]  = (s[4:3]==2'b00) ? tmp[1] :
2502
                     (sll & s[4:3]==2'b01) ? tmp[0] :
2503
                     (sll & s[4:3]==2'b10) ? {8{1'b0}} :
2504
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2505
                     (s[4:3]==2'b01) ? tmp[2] :
2506
                     (s[4:3]==2'b10) ? tmp[3] :
2507
                     {8{sign[3]}};
2508
// mux byte 0
2509
assign dout[7:0]   = (s[4:3]==2'b00) ? tmp[0] :
2510
                     (sll) ?  {8{1'b0}}:
2511
                     (s[4:3]==2'b01) ? tmp[1] :
2512
                     (s[4:3]==2'b10) ? tmp[2] :
2513
                     tmp[3];
2514
endmodule
2515
// logic unit
2516
// supporting the following logic functions
2517
//    a and b
2518
//    a or  b
2519
//    a xor b
2520
//    not b
2521
module vl_logic_unit( a, b, result, opcode);
2522
parameter width = 32;
2523
parameter opcode_and = 2'b00;
2524
parameter opcode_or  = 2'b01;
2525
parameter opcode_xor = 2'b10;
2526
input [width-1:0] a,b;
2527
output [width-1:0] result;
2528
input [1:0] opcode;
2529
assign result = (opcode==opcode_and) ? a & b :
2530
                (opcode==opcode_or)  ? a | b :
2531
                (opcode==opcode_xor) ? a ^ b :
2532
                b;
2533
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.