OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [versatile_library_altera.v] - Blame information for rev 93

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 unneback
// default SYN_KEEP definition
2 6 unneback
//////////////////////////////////////////////////////////////////////
3
////                                                              ////
4
////  Versatile library, clock and reset                          ////
5
////                                                              ////
6
////  Description                                                 ////
7
////  Logic related to clock and reset                            ////
8
////                                                              ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - add more different registers                             ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14
////      - Michael Unneback, unneback@opencores.org              ////
15
////        ORSoC AB                                              ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43 21 unneback
//altera
44 33 unneback
module vl_gbuf ( i, o);
45
input i;
46
output o;
47
assign o = i;
48
endmodule
49 6 unneback
 // ALTERA
50
 //ACTEL
51
// sync reset
52 17 unneback
// input active lo async reset, normally from external reset generator and/or switch
53 6 unneback
// output active high global reset sync with two DFFs 
54
`timescale 1 ns/100 ps
55
module vl_sync_rst ( rst_n_i, rst_o, clk);
56
input rst_n_i, clk;
57
output rst_o;
58 18 unneback
reg [1:0] tmp;
59 6 unneback
always @ (posedge clk or negedge rst_n_i)
60
if (!rst_n_i)
61 17 unneback
        tmp <= 2'b11;
62 6 unneback
else
63 33 unneback
        tmp <= {1'b0,tmp[1]};
64 17 unneback
vl_gbuf buf_i0( .i(tmp[0]), .o(rst_o));
65 6 unneback
endmodule
66
// vl_pll
67 32 unneback
///////////////////////////////////////////////////////////////////////////////
68
`timescale 1 ps/1 ps
69
module vl_pll ( clk_i, rst_n_i, lock, clk_o, rst_o);
70
parameter index = 0;
71
parameter number_of_clk = 1;
72
parameter period_time_0 = 20000;
73
parameter period_time_1 = 20000;
74
parameter period_time_2 = 20000;
75
parameter period_time_3 = 20000;
76
parameter period_time_4 = 20000;
77
parameter lock_delay = 2000000;
78
input clk_i, rst_n_i;
79
output lock;
80
output reg [0:number_of_clk-1] clk_o;
81
output [0:number_of_clk-1] rst_o;
82 33 unneback
`ifdef SIM_PLL
83 32 unneback
always
84
     #((period_time_0)/2) clk_o[0] <=  (!rst_n_i) ? 0 : ~clk_o[0];
85
generate if (number_of_clk > 1)
86
always
87
     #((period_time_1)/2) clk_o[1] <=  (!rst_n_i) ? 0 : ~clk_o[1];
88
endgenerate
89
generate if (number_of_clk > 2)
90
always
91
     #((period_time_2)/2) clk_o[2] <=  (!rst_n_i) ? 0 : ~clk_o[2];
92
endgenerate
93 33 unneback
generate if (number_of_clk > 3)
94 32 unneback
always
95
     #((period_time_3)/2) clk_o[3] <=  (!rst_n_i) ? 0 : ~clk_o[3];
96
endgenerate
97 33 unneback
generate if (number_of_clk > 4)
98 32 unneback
always
99
     #((period_time_4)/2) clk_o[4] <=  (!rst_n_i) ? 0 : ~clk_o[4];
100
endgenerate
101
genvar i;
102
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
103
     vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
104
end
105
endgenerate
106 33 unneback
//assign #lock_delay lock = rst_n_i;
107
assign lock = rst_n_i;
108 32 unneback
endmodule
109 33 unneback
`else
110
`ifdef VL_PLL0
111
`ifdef VL_PLL0_CLK1
112
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
113
`endif
114
`ifdef VL_PLL0_CLK2
115
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
116
`endif
117
`ifdef VL_PLL0_CLK3
118
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
119
`endif
120
`ifdef VL_PLL0_CLK4
121
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
122
`endif
123
`ifdef VL_PLL0_CLK5
124
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
125
`endif
126
`endif
127
`ifdef VL_PLL1
128
`ifdef VL_PLL1_CLK1
129
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
130
`endif
131
`ifdef VL_PLL1_CLK2
132
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
133
`endif
134
`ifdef VL_PLL1_CLK3
135
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
136
`endif
137
`ifdef VL_PLL1_CLK4
138
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
139
`endif
140
`ifdef VL_PLL1_CLK5
141
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
142
`endif
143
`endif
144
`ifdef VL_PLL2
145
`ifdef VL_PLL2_CLK1
146
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
147
`endif
148
`ifdef VL_PLL2_CLK2
149
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
150
`endif
151
`ifdef VL_PLL2_CLK3
152
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
153
`endif
154
`ifdef VL_PLL2_CLK4
155
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
156
`endif
157
`ifdef VL_PLL2_CLK5
158
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
159
`endif
160
`endif
161
`ifdef VL_PLL3
162
`ifdef VL_PLL3_CLK1
163
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
164
`endif
165
`ifdef VL_PLL3_CLK2
166
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
167
`endif
168
`ifdef VL_PLL3_CLK3
169
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
170
`endif
171
`ifdef VL_PLL3_CLK4
172
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
173
`endif
174
`ifdef VL_PLL3_CLK5
175
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
176
`endif
177
`endif
178 32 unneback
genvar i;
179
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
180 40 unneback
        vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
181 32 unneback
end
182
endgenerate
183
endmodule
184 33 unneback
`endif
185 32 unneback
///////////////////////////////////////////////////////////////////////////////
186 6 unneback
 //altera
187
 //actel
188
//////////////////////////////////////////////////////////////////////
189
////                                                              ////
190
////  Versatile library, registers                                ////
191
////                                                              ////
192
////  Description                                                 ////
193
////  Different type of registers                                 ////
194
////                                                              ////
195
////                                                              ////
196
////  To Do:                                                      ////
197
////   - add more different registers                             ////
198
////                                                              ////
199
////  Author(s):                                                  ////
200
////      - Michael Unneback, unneback@opencores.org              ////
201
////        ORSoC AB                                              ////
202
////                                                              ////
203
//////////////////////////////////////////////////////////////////////
204
////                                                              ////
205
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
206
////                                                              ////
207
//// This source file may be used and distributed without         ////
208
//// restriction provided that this copyright statement is not    ////
209
//// removed from the file and that any derivative work contains  ////
210
//// the original copyright notice and the associated disclaimer. ////
211
////                                                              ////
212
//// This source file is free software; you can redistribute it   ////
213
//// and/or modify it under the terms of the GNU Lesser General   ////
214
//// Public License as published by the Free Software Foundation; ////
215
//// either version 2.1 of the License, or (at your option) any   ////
216
//// later version.                                               ////
217
////                                                              ////
218
//// This source is distributed in the hope that it will be       ////
219
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
220
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
221
//// PURPOSE.  See the GNU Lesser General Public License for more ////
222
//// details.                                                     ////
223
////                                                              ////
224
//// You should have received a copy of the GNU Lesser General    ////
225
//// Public License along with this source; if not, download it   ////
226
//// from http://www.opencores.org/lgpl.shtml                     ////
227
////                                                              ////
228
//////////////////////////////////////////////////////////////////////
229 18 unneback
module vl_dff ( d, q, clk, rst);
230 6 unneback
        parameter width = 1;
231
        parameter reset_value = 0;
232
        input [width-1:0] d;
233
        input clk, rst;
234
        output reg [width-1:0] q;
235
        always @ (posedge clk or posedge rst)
236
        if (rst)
237
                q <= reset_value;
238
        else
239
                q <= d;
240
endmodule
241 18 unneback
module vl_dff_array ( d, q, clk, rst);
242 6 unneback
        parameter width = 1;
243
        parameter depth = 2;
244
        parameter reset_value = 1'b0;
245
        input [width-1:0] d;
246
        input clk, rst;
247
        output [width-1:0] q;
248
        reg  [0:depth-1] q_tmp [width-1:0];
249
        integer i;
250
        always @ (posedge clk or posedge rst)
251
        if (rst) begin
252
            for (i=0;i<depth;i=i+1)
253
                q_tmp[i] <= {width{reset_value}};
254
        end else begin
255
            q_tmp[0] <= d;
256
            for (i=1;i<depth;i=i+1)
257
                q_tmp[i] <= q_tmp[i-1];
258
        end
259
    assign q = q_tmp[depth-1];
260
endmodule
261 18 unneback
module vl_dff_ce ( d, ce, q, clk, rst);
262 6 unneback
        parameter width = 1;
263
        parameter reset_value = 0;
264
        input [width-1:0] d;
265
        input ce, clk, rst;
266
        output reg [width-1:0] q;
267
        always @ (posedge clk or posedge rst)
268
        if (rst)
269
                q <= reset_value;
270
        else
271
                if (ce)
272
                        q <= d;
273
endmodule
274 18 unneback
module vl_dff_ce_clear ( d, ce, clear, q, clk, rst);
275 8 unneback
        parameter width = 1;
276
        parameter reset_value = 0;
277
        input [width-1:0] d;
278 10 unneback
        input ce, clear, clk, rst;
279 8 unneback
        output reg [width-1:0] q;
280
        always @ (posedge clk or posedge rst)
281
        if (rst)
282
            q <= reset_value;
283
        else
284
            if (ce)
285
                if (clear)
286
                    q <= {width{1'b0}};
287
                else
288
                    q <= d;
289
endmodule
290 24 unneback
module vl_dff_ce_set ( d, ce, set, q, clk, rst);
291
        parameter width = 1;
292
        parameter reset_value = 0;
293
        input [width-1:0] d;
294
        input ce, set, clk, rst;
295
        output reg [width-1:0] q;
296
        always @ (posedge clk or posedge rst)
297
        if (rst)
298
            q <= reset_value;
299
        else
300
            if (ce)
301
                if (set)
302
                    q <= {width{1'b1}};
303
                else
304
                    q <= d;
305
endmodule
306 29 unneback
module vl_spr ( sp, r, q, clk, rst);
307 64 unneback
        //parameter width = 1;
308
        parameter reset_value = 1'b0;
309 29 unneback
        input sp, r;
310
        output reg q;
311
        input clk, rst;
312
        always @ (posedge clk or posedge rst)
313
        if (rst)
314
            q <= reset_value;
315
        else
316
            if (sp)
317
                q <= 1'b1;
318
            else if (r)
319
                q <= 1'b0;
320
endmodule
321
module vl_srp ( s, rp, q, clk, rst);
322
        parameter width = 1;
323
        parameter reset_value = 0;
324
        input s, rp;
325
        output reg q;
326
        input clk, rst;
327
        always @ (posedge clk or posedge rst)
328
        if (rst)
329
            q <= reset_value;
330
        else
331
            if (rp)
332
                q <= 1'b0;
333
            else if (s)
334
                q <= 1'b1;
335
endmodule
336 6 unneback
// megafunction wizard: %LPM_FF%
337
// GENERATION: STANDARD
338
// VERSION: WM1.0
339
// MODULE: lpm_ff 
340
// ============================================================
341
// File Name: dff_sr.v
342
// Megafunction Name(s):
343
//                      lpm_ff
344
//
345
// Simulation Library Files(s):
346
//                      lpm
347
// ============================================================
348
// ************************************************************
349
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
350
//
351
// 9.1 Build 304 01/25/2010 SP 1 SJ Full Version
352
// ************************************************************
353
//Copyright (C) 1991-2010 Altera Corporation
354
//Your use of Altera Corporation's design tools, logic functions 
355
//and other software and tools, and its AMPP partner logic 
356
//functions, and any output files from any of the foregoing 
357
//(including device programming or simulation files), and any 
358
//associated documentation or information are expressly subject 
359
//to the terms and conditions of the Altera Program License 
360
//Subscription Agreement, Altera MegaCore Function License 
361
//Agreement, or other applicable license agreement, including, 
362
//without limitation, that your use is for the sole purpose of 
363
//programming logic devices manufactured by Altera and sold by 
364
//Altera or its authorized distributors.  Please refer to the 
365
//applicable agreement for further details.
366
// synopsys translate_off
367
`timescale 1 ps / 1 ps
368
// synopsys translate_on
369 18 unneback
module vl_dff_sr (
370 6 unneback
        aclr,
371
        aset,
372
        clock,
373
        data,
374
        q);
375
        input     aclr;
376
        input     aset;
377
        input     clock;
378
        input     data;
379
        output    q;
380
        wire [0:0] sub_wire0;
381
        wire [0:0] sub_wire1 = sub_wire0[0:0];
382
        wire  q = sub_wire1;
383
        wire  sub_wire2 = data;
384
        wire  sub_wire3 = sub_wire2;
385
        lpm_ff  lpm_ff_component (
386
                                .aclr (aclr),
387
                                .clock (clock),
388
                                .data (sub_wire3),
389
                                .aset (aset),
390
                                .q (sub_wire0)
391
                                // synopsys translate_off
392
                                ,
393
                                .aload (),
394
                                .enable (),
395
                                .sclr (),
396
                                .sload (),
397
                                .sset ()
398
                                // synopsys translate_on
399
                                );
400
        defparam
401
                lpm_ff_component.lpm_fftype = "DFF",
402
                lpm_ff_component.lpm_type = "LPM_FF",
403
                lpm_ff_component.lpm_width = 1;
404
endmodule
405
// ============================================================
406
// CNX file retrieval info
407
// ============================================================
408
// Retrieval info: PRIVATE: ACLR NUMERIC "1"
409
// Retrieval info: PRIVATE: ALOAD NUMERIC "0"
410
// Retrieval info: PRIVATE: ASET NUMERIC "1"
411
// Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
412
// Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
413
// Retrieval info: PRIVATE: DFF NUMERIC "1"
414
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
415
// Retrieval info: PRIVATE: SCLR NUMERIC "0"
416
// Retrieval info: PRIVATE: SLOAD NUMERIC "0"
417
// Retrieval info: PRIVATE: SSET NUMERIC "0"
418
// Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
419
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
420
// Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0"
421
// Retrieval info: PRIVATE: nBit NUMERIC "1"
422
// Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF"
423
// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF"
424
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
425
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
426
// Retrieval info: USED_PORT: aset 0 0 0 0 INPUT NODEFVAL aset
427
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
428
// Retrieval info: USED_PORT: data 0 0 0 0 INPUT NODEFVAL data
429
// Retrieval info: USED_PORT: q 0 0 0 0 OUTPUT NODEFVAL q
430
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
431
// Retrieval info: CONNECT: q 0 0 0 0 @q 0 0 1 0
432
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
433
// Retrieval info: CONNECT: @aset 0 0 0 0 aset 0 0 0 0
434
// Retrieval info: CONNECT: @data 0 0 1 0 data 0 0 0 0
435
// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
436
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.v TRUE
437
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.inc FALSE
438
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.cmp FALSE
439
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.bsf FALSE
440
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_inst.v FALSE
441
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_bb.v FALSE
442
// Retrieval info: LIB_FILE: lpm
443
// LATCH
444
// For targtes not supporting LATCH use dff_sr with clk=1 and data=1
445 18 unneback
module vl_latch ( d, le, q, clk);
446 6 unneback
input d, le;
447
output q;
448
input clk;
449
dff_sr i0 (.aclr(), .aset(), .clock(1'b1), .data(1'b1), .q(q));
450
endmodule
451 18 unneback
module vl_shreg ( d, q, clk, rst);
452 17 unneback
parameter depth = 10;
453
input d;
454
output q;
455
input clk, rst;
456
reg [1:depth] dffs;
457
always @ (posedge clk or posedge rst)
458
if (rst)
459
    dffs <= {depth{1'b0}};
460
else
461
    dffs <= {d,dffs[1:depth-1]};
462
assign q = dffs[depth];
463
endmodule
464 18 unneback
module vl_shreg_ce ( d, ce, q, clk, rst);
465 17 unneback
parameter depth = 10;
466
input d, ce;
467
output q;
468
input clk, rst;
469
reg [1:depth] dffs;
470
always @ (posedge clk or posedge rst)
471
if (rst)
472
    dffs <= {depth{1'b0}};
473
else
474
    if (ce)
475
        dffs <= {d,dffs[1:depth-1]};
476
assign q = dffs[depth];
477
endmodule
478 18 unneback
module vl_delay ( d, q, clk, rst);
479 15 unneback
parameter depth = 10;
480
input d;
481
output q;
482
input clk, rst;
483
reg [1:depth] dffs;
484
always @ (posedge clk or posedge rst)
485
if (rst)
486
    dffs <= {depth{1'b0}};
487
else
488
    dffs <= {d,dffs[1:depth-1]};
489
assign q = dffs[depth];
490
endmodule
491 18 unneback
module vl_delay_emptyflag ( d, q, emptyflag, clk, rst);
492 17 unneback
parameter depth = 10;
493
input d;
494
output q, emptyflag;
495
input clk, rst;
496
reg [1:depth] dffs;
497
always @ (posedge clk or posedge rst)
498
if (rst)
499
    dffs <= {depth{1'b0}};
500
else
501
    dffs <= {d,dffs[1:depth-1]};
502
assign q = dffs[depth];
503
assign emptyflag = !(|dffs);
504
endmodule
505 6 unneback
//////////////////////////////////////////////////////////////////////
506
////                                                              ////
507 18 unneback
////  Logic functions                                             ////
508
////                                                              ////
509
////  Description                                                 ////
510
////  Logic functions such as multiplexers                        ////
511
////                                                              ////
512
////                                                              ////
513
////  To Do:                                                      ////
514
////   -                                                          ////
515
////                                                              ////
516
////  Author(s):                                                  ////
517
////      - Michael Unneback, unneback@opencores.org              ////
518
////        ORSoC AB                                              ////
519
////                                                              ////
520
//////////////////////////////////////////////////////////////////////
521
////                                                              ////
522
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
523
////                                                              ////
524
//// This source file may be used and distributed without         ////
525
//// restriction provided that this copyright statement is not    ////
526
//// removed from the file and that any derivative work contains  ////
527
//// the original copyright notice and the associated disclaimer. ////
528
////                                                              ////
529
//// This source file is free software; you can redistribute it   ////
530
//// and/or modify it under the terms of the GNU Lesser General   ////
531
//// Public License as published by the Free Software Foundation; ////
532
//// either version 2.1 of the License, or (at your option) any   ////
533
//// later version.                                               ////
534
////                                                              ////
535
//// This source is distributed in the hope that it will be       ////
536
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
537
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
538
//// PURPOSE.  See the GNU Lesser General Public License for more ////
539
//// details.                                                     ////
540
////                                                              ////
541
//// You should have received a copy of the GNU Lesser General    ////
542
//// Public License along with this source; if not, download it   ////
543
//// from http://www.opencores.org/lgpl.shtml                     ////
544
////                                                              ////
545
//////////////////////////////////////////////////////////////////////
546 36 unneback
module vl_mux_andor ( a, sel, dout);
547
parameter width = 32;
548
parameter nr_of_ports = 4;
549
input [nr_of_ports*width-1:0] a;
550
input [nr_of_ports-1:0] sel;
551
output reg [width-1:0] dout;
552 38 unneback
integer i,j;
553 36 unneback
always @ (a, sel)
554
begin
555
    dout = a[width-1:0] & {width{sel[0]}};
556 42 unneback
    for (i=1;i<nr_of_ports;i=i+1)
557
        for (j=0;j<width;j=j+1)
558
            dout[j] = (a[i*width + j] & sel[i]) | dout[j];
559 36 unneback
end
560
endmodule
561 34 unneback
module vl_mux2_andor ( a1, a0, sel, dout);
562
parameter width = 32;
563 35 unneback
localparam nr_of_ports = 2;
564 34 unneback
input [width-1:0] a1, a0;
565
input [nr_of_ports-1:0] sel;
566
output [width-1:0] dout;
567 36 unneback
vl_mux_andor
568 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
569 36 unneback
    mux0( .a({a1,a0}), .sel(sel), .dout(dout));
570 34 unneback
endmodule
571
module vl_mux3_andor ( a2, a1, a0, sel, dout);
572
parameter width = 32;
573 35 unneback
localparam nr_of_ports = 3;
574 34 unneback
input [width-1:0] a2, a1, a0;
575
input [nr_of_ports-1:0] sel;
576
output [width-1:0] dout;
577 36 unneback
vl_mux_andor
578 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
579 36 unneback
    mux0( .a({a2,a1,a0}), .sel(sel), .dout(dout));
580 34 unneback
endmodule
581 18 unneback
module vl_mux4_andor ( a3, a2, a1, a0, sel, dout);
582
parameter width = 32;
583 35 unneback
localparam nr_of_ports = 4;
584 18 unneback
input [width-1:0] a3, a2, a1, a0;
585
input [nr_of_ports-1:0] sel;
586 22 unneback
output [width-1:0] dout;
587 36 unneback
vl_mux_andor
588 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
589 36 unneback
    mux0( .a({a3,a2,a1,a0}), .sel(sel), .dout(dout));
590 18 unneback
endmodule
591
module vl_mux5_andor ( a4, a3, a2, a1, a0, sel, dout);
592
parameter width = 32;
593 35 unneback
localparam nr_of_ports = 5;
594 18 unneback
input [width-1:0] a4, a3, a2, a1, a0;
595
input [nr_of_ports-1:0] sel;
596 22 unneback
output [width-1:0] dout;
597 36 unneback
vl_mux_andor
598 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
599 36 unneback
    mux0( .a({a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
600 18 unneback
endmodule
601
module vl_mux6_andor ( a5, a4, a3, a2, a1, a0, sel, dout);
602
parameter width = 32;
603 35 unneback
localparam nr_of_ports = 6;
604 18 unneback
input [width-1:0] a5, a4, a3, a2, a1, a0;
605
input [nr_of_ports-1:0] sel;
606 22 unneback
output [width-1:0] dout;
607 36 unneback
vl_mux_andor
608 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
609 36 unneback
    mux0( .a({a5,a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
610 18 unneback
endmodule
611 43 unneback
module vl_parity_generate (data, parity);
612
parameter word_size = 32;
613
parameter chunk_size = 8;
614
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
615
input [word_size-1:0] data;
616
output reg [word_size/chunk_size-1:0] parity;
617
integer i,j;
618
always @ (data)
619
for (i=0;i<word_size/chunk_size;i=i+1) begin
620
    parity[i] = parity_type;
621
    for (j=0;j<chunk_size;j=j+1) begin
622 46 unneback
        parity[i] = data[i*chunk_size+j] ^ parity[i];
623 43 unneback
    end
624
end
625
endmodule
626
module vl_parity_check( data, parity, parity_error);
627
parameter word_size = 32;
628
parameter chunk_size = 8;
629
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
630
input [word_size-1:0] data;
631
input [word_size/chunk_size-1:0] parity;
632
output parity_error;
633 44 unneback
reg [word_size/chunk_size-1:0] error_flag;
634 43 unneback
integer i,j;
635
always @ (data or parity)
636
for (i=0;i<word_size/chunk_size;i=i+1) begin
637
    error_flag[i] = parity[i] ^ parity_type;
638
    for (j=0;j<chunk_size;j=j+1) begin
639 46 unneback
        error_flag[i] = data[i*chunk_size+j] ^ error_flag[i];
640 43 unneback
    end
641
end
642
assign parity_error = |error_flag;
643
endmodule
644 18 unneback
//////////////////////////////////////////////////////////////////////
645
////                                                              ////
646 44 unneback
////  IO functions                                                ////
647
////                                                              ////
648
////  Description                                                 ////
649
////  IO functions such as IOB flip-flops                         ////
650
////                                                              ////
651
////                                                              ////
652
////  To Do:                                                      ////
653
////   -                                                          ////
654
////                                                              ////
655
////  Author(s):                                                  ////
656
////      - Michael Unneback, unneback@opencores.org              ////
657
////        ORSoC AB                                              ////
658
////                                                              ////
659
//////////////////////////////////////////////////////////////////////
660
////                                                              ////
661
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
662
////                                                              ////
663
//// This source file may be used and distributed without         ////
664
//// restriction provided that this copyright statement is not    ////
665
//// removed from the file and that any derivative work contains  ////
666
//// the original copyright notice and the associated disclaimer. ////
667
////                                                              ////
668
//// This source file is free software; you can redistribute it   ////
669
//// and/or modify it under the terms of the GNU Lesser General   ////
670
//// Public License as published by the Free Software Foundation; ////
671
//// either version 2.1 of the License, or (at your option) any   ////
672
//// later version.                                               ////
673
////                                                              ////
674
//// This source is distributed in the hope that it will be       ////
675
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
676
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
677
//// PURPOSE.  See the GNU Lesser General Public License for more ////
678
//// details.                                                     ////
679
////                                                              ////
680
//// You should have received a copy of the GNU Lesser General    ////
681
//// Public License along with this source; if not, download it   ////
682
//// from http://www.opencores.org/lgpl.shtml                     ////
683
////                                                              ////
684
//////////////////////////////////////////////////////////////////////
685 45 unneback
`timescale 1ns/1ns
686 44 unneback
module vl_o_dff (d_i, o_pad, clk, rst);
687
parameter width = 1;
688 45 unneback
parameter reset_value = {width{1'b0}};
689
input  [width-1:0]  d_i;
690 44 unneback
output [width-1:0] o_pad;
691
input clk, rst;
692 60 unneback
wire [width-1:0] d_i_int /*synthesis syn_keep = 1*/;
693 45 unneback
reg  [width-1:0] o_pad_int;
694 44 unneback
assign d_i_int = d_i;
695
genvar i;
696 45 unneback
generate
697 44 unneback
for (i=0;i<width;i=i+1) begin
698
    always @ (posedge clk or posedge rst)
699
    if (rst)
700 45 unneback
        o_pad_int[i] <= reset_value[i];
701 44 unneback
    else
702 45 unneback
        o_pad_int[i] <= d_i_int[i];
703
    assign #1 o_pad[i] = o_pad_int[i];
704 44 unneback
end
705
endgenerate
706
endmodule
707 45 unneback
`timescale 1ns/1ns
708 44 unneback
module vl_io_dff_oe ( d_i, d_o, oe, io_pad, clk, rst);
709
parameter width = 1;
710
input  [width-1:0] d_o;
711
output reg [width-1:0] d_i;
712
input oe;
713
inout [width-1:0] io_pad;
714
input clk, rst;
715 60 unneback
wire [width-1:0] oe_d /*synthesis syn_keep = 1*/;
716 44 unneback
reg [width-1:0] oe_q;
717
reg [width-1:0] d_o_q;
718
assign oe_d = {width{oe}};
719
genvar i;
720
generate
721
for (i=0;i<width;i=i+1) begin
722
    always @ (posedge clk or posedge rst)
723
    if (rst)
724
        oe_q[i] <= 1'b0;
725
    else
726
        oe_q[i] <= oe_d[i];
727
    always @ (posedge clk or posedge rst)
728
    if (rst)
729
        d_o_q[i] <= 1'b0;
730
    else
731
        d_o_q[i] <= d_o[i];
732
    always @ (posedge clk or posedge rst)
733
    if (rst)
734
        d_i[i] <= 1'b0;
735
    else
736
        d_i[i] <= io_pad[i];
737 45 unneback
    assign #1 io_pad[i] = (oe_q[i]) ? d_o_q[i] : 1'bz;
738 44 unneback
end
739
endgenerate
740
endmodule
741
//////////////////////////////////////////////////////////////////////
742
////                                                              ////
743 6 unneback
////  Versatile counter                                           ////
744
////                                                              ////
745
////  Description                                                 ////
746
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
747
////  counter                                                     ////
748
////                                                              ////
749
////  To Do:                                                      ////
750
////   - add LFSR with more taps                                  ////
751
////                                                              ////
752
////  Author(s):                                                  ////
753
////      - Michael Unneback, unneback@opencores.org              ////
754
////        ORSoC AB                                              ////
755
////                                                              ////
756
//////////////////////////////////////////////////////////////////////
757
////                                                              ////
758
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
759
////                                                              ////
760
//// This source file may be used and distributed without         ////
761
//// restriction provided that this copyright statement is not    ////
762
//// removed from the file and that any derivative work contains  ////
763
//// the original copyright notice and the associated disclaimer. ////
764
////                                                              ////
765
//// This source file is free software; you can redistribute it   ////
766
//// and/or modify it under the terms of the GNU Lesser General   ////
767
//// Public License as published by the Free Software Foundation; ////
768
//// either version 2.1 of the License, or (at your option) any   ////
769
//// later version.                                               ////
770
////                                                              ////
771
//// This source is distributed in the hope that it will be       ////
772
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
773
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
774
//// PURPOSE.  See the GNU Lesser General Public License for more ////
775
//// details.                                                     ////
776
////                                                              ////
777
//// You should have received a copy of the GNU Lesser General    ////
778
//// Public License along with this source; if not, download it   ////
779
//// from http://www.opencores.org/lgpl.shtml                     ////
780
////                                                              ////
781
//////////////////////////////////////////////////////////////////////
782
// binary counter
783 40 unneback
module vl_cnt_bin_ce (
784
 cke, q, rst, clk);
785 22 unneback
   parameter length = 4;
786 6 unneback
   input cke;
787
   output [length:1] q;
788
   input rst;
789
   input clk;
790
   parameter clear_value = 0;
791
   parameter set_value = 1;
792
   parameter wrap_value = 0;
793
   parameter level1_value = 15;
794
   reg  [length:1] qi;
795
   wire [length:1] q_next;
796
   assign q_next = qi + {{length-1{1'b0}},1'b1};
797
   always @ (posedge clk or posedge rst)
798
     if (rst)
799
       qi <= {length{1'b0}};
800
     else
801
     if (cke)
802
       qi <= q_next;
803
   assign q = qi;
804
endmodule
805
//////////////////////////////////////////////////////////////////////
806
////                                                              ////
807
////  Versatile counter                                           ////
808
////                                                              ////
809
////  Description                                                 ////
810
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
811
////  counter                                                     ////
812
////                                                              ////
813
////  To Do:                                                      ////
814
////   - add LFSR with more taps                                  ////
815
////                                                              ////
816
////  Author(s):                                                  ////
817
////      - Michael Unneback, unneback@opencores.org              ////
818
////        ORSoC AB                                              ////
819
////                                                              ////
820
//////////////////////////////////////////////////////////////////////
821
////                                                              ////
822
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
823
////                                                              ////
824
//// This source file may be used and distributed without         ////
825
//// restriction provided that this copyright statement is not    ////
826
//// removed from the file and that any derivative work contains  ////
827
//// the original copyright notice and the associated disclaimer. ////
828
////                                                              ////
829
//// This source file is free software; you can redistribute it   ////
830
//// and/or modify it under the terms of the GNU Lesser General   ////
831
//// Public License as published by the Free Software Foundation; ////
832
//// either version 2.1 of the License, or (at your option) any   ////
833
//// later version.                                               ////
834
////                                                              ////
835
//// This source is distributed in the hope that it will be       ////
836
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
837
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
838
//// PURPOSE.  See the GNU Lesser General Public License for more ////
839
//// details.                                                     ////
840
////                                                              ////
841
//// You should have received a copy of the GNU Lesser General    ////
842
//// Public License along with this source; if not, download it   ////
843
//// from http://www.opencores.org/lgpl.shtml                     ////
844
////                                                              ////
845
//////////////////////////////////////////////////////////////////////
846
// binary counter
847 40 unneback
module vl_cnt_bin_ce_rew_zq_l1 (
848
 cke, rew, zq, level1, rst, clk);
849 6 unneback
   parameter length = 4;
850
   input cke;
851
   input rew;
852 25 unneback
   output reg zq;
853
   output reg level1;
854
   input rst;
855
   input clk;
856
   parameter clear_value = 0;
857
   parameter set_value = 1;
858
   parameter wrap_value = 1;
859
   parameter level1_value = 15;
860 29 unneback
   wire clear;
861 30 unneback
   assign clear = 1'b0;
862 25 unneback
   reg  [length:1] qi;
863
   wire  [length:1] q_next, q_next_fw, q_next_rew;
864
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
865
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
866
   assign q_next = rew ? q_next_rew : q_next_fw;
867
   always @ (posedge clk or posedge rst)
868
     if (rst)
869
       qi <= {length{1'b0}};
870
     else
871
     if (cke)
872
       qi <= q_next;
873
   always @ (posedge clk or posedge rst)
874
     if (rst)
875
       zq <= 1'b1;
876
     else
877
     if (cke)
878
       zq <= q_next == {length{1'b0}};
879
    always @ (posedge clk or posedge rst)
880
    if (rst)
881
        level1 <= 1'b0;
882
    else
883
    if (cke)
884 29 unneback
    if (clear)
885
        level1 <= 1'b0;
886
    else if (q_next == level1_value)
887 25 unneback
        level1 <= 1'b1;
888
    else if (qi == level1_value & rew)
889
        level1 <= 1'b0;
890
endmodule
891
//////////////////////////////////////////////////////////////////////
892
////                                                              ////
893
////  Versatile counter                                           ////
894
////                                                              ////
895
////  Description                                                 ////
896
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
897
////  counter                                                     ////
898
////                                                              ////
899
////  To Do:                                                      ////
900
////   - add LFSR with more taps                                  ////
901
////                                                              ////
902
////  Author(s):                                                  ////
903
////      - Michael Unneback, unneback@opencores.org              ////
904
////        ORSoC AB                                              ////
905
////                                                              ////
906
//////////////////////////////////////////////////////////////////////
907
////                                                              ////
908
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
909
////                                                              ////
910
//// This source file may be used and distributed without         ////
911
//// restriction provided that this copyright statement is not    ////
912
//// removed from the file and that any derivative work contains  ////
913
//// the original copyright notice and the associated disclaimer. ////
914
////                                                              ////
915
//// This source file is free software; you can redistribute it   ////
916
//// and/or modify it under the terms of the GNU Lesser General   ////
917
//// Public License as published by the Free Software Foundation; ////
918
//// either version 2.1 of the License, or (at your option) any   ////
919
//// later version.                                               ////
920
////                                                              ////
921
//// This source is distributed in the hope that it will be       ////
922
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
923
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
924
//// PURPOSE.  See the GNU Lesser General Public License for more ////
925
//// details.                                                     ////
926
////                                                              ////
927
//// You should have received a copy of the GNU Lesser General    ////
928
//// Public License along with this source; if not, download it   ////
929
//// from http://www.opencores.org/lgpl.shtml                     ////
930
////                                                              ////
931
//////////////////////////////////////////////////////////////////////
932
// binary counter
933 40 unneback
module vl_cnt_bin_ce_rew_q_zq_l1 (
934
 cke, rew, q, zq, level1, rst, clk);
935 25 unneback
   parameter length = 4;
936
   input cke;
937
   input rew;
938
   output [length:1] q;
939
   output reg zq;
940
   output reg level1;
941
   input rst;
942
   input clk;
943
   parameter clear_value = 0;
944
   parameter set_value = 1;
945
   parameter wrap_value = 1;
946
   parameter level1_value = 15;
947 29 unneback
   wire clear;
948 30 unneback
   assign clear = 1'b0;
949 25 unneback
   reg  [length:1] qi;
950
   wire  [length:1] q_next, q_next_fw, q_next_rew;
951
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
952
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
953
   assign q_next = rew ? q_next_rew : q_next_fw;
954
   always @ (posedge clk or posedge rst)
955
     if (rst)
956
       qi <= {length{1'b0}};
957
     else
958
     if (cke)
959
       qi <= q_next;
960
   assign q = qi;
961
   always @ (posedge clk or posedge rst)
962
     if (rst)
963
       zq <= 1'b1;
964
     else
965
     if (cke)
966
       zq <= q_next == {length{1'b0}};
967
    always @ (posedge clk or posedge rst)
968
    if (rst)
969
        level1 <= 1'b0;
970
    else
971
    if (cke)
972 29 unneback
    if (clear)
973
        level1 <= 1'b0;
974
    else if (q_next == level1_value)
975 25 unneback
        level1 <= 1'b1;
976
    else if (qi == level1_value & rew)
977
        level1 <= 1'b0;
978
endmodule
979
//////////////////////////////////////////////////////////////////////
980
////                                                              ////
981
////  Versatile counter                                           ////
982
////                                                              ////
983
////  Description                                                 ////
984
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
985
////  counter                                                     ////
986
////                                                              ////
987
////  To Do:                                                      ////
988
////   - add LFSR with more taps                                  ////
989
////                                                              ////
990
////  Author(s):                                                  ////
991
////      - Michael Unneback, unneback@opencores.org              ////
992
////        ORSoC AB                                              ////
993
////                                                              ////
994
//////////////////////////////////////////////////////////////////////
995
////                                                              ////
996
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
997
////                                                              ////
998
//// This source file may be used and distributed without         ////
999
//// restriction provided that this copyright statement is not    ////
1000
//// removed from the file and that any derivative work contains  ////
1001
//// the original copyright notice and the associated disclaimer. ////
1002
////                                                              ////
1003
//// This source file is free software; you can redistribute it   ////
1004
//// and/or modify it under the terms of the GNU Lesser General   ////
1005
//// Public License as published by the Free Software Foundation; ////
1006
//// either version 2.1 of the License, or (at your option) any   ////
1007
//// later version.                                               ////
1008
////                                                              ////
1009
//// This source is distributed in the hope that it will be       ////
1010
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1011
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1012
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1013
//// details.                                                     ////
1014
////                                                              ////
1015
//// You should have received a copy of the GNU Lesser General    ////
1016
//// Public License along with this source; if not, download it   ////
1017
//// from http://www.opencores.org/lgpl.shtml                     ////
1018
////                                                              ////
1019
//////////////////////////////////////////////////////////////////////
1020 75 unneback
// LFSR counter
1021
module vl_cnt_lfsr_ce (
1022
 cke, zq, rst, clk);
1023
   parameter length = 4;
1024
   input cke;
1025
   output reg zq;
1026
   input rst;
1027
   input clk;
1028
   parameter clear_value = 0;
1029
   parameter set_value = 1;
1030
   parameter wrap_value = 0;
1031
   parameter level1_value = 15;
1032
   reg  [length:1] qi;
1033
   reg lfsr_fb;
1034
   wire [length:1] q_next;
1035
   reg [32:1] polynom;
1036
   integer i;
1037
   always @ (qi)
1038
   begin
1039
        case (length)
1040
         2: polynom = 32'b11;                               // 0x3
1041
         3: polynom = 32'b110;                              // 0x6
1042
         4: polynom = 32'b1100;                             // 0xC
1043
         5: polynom = 32'b10100;                            // 0x14
1044
         6: polynom = 32'b110000;                           // 0x30
1045
         7: polynom = 32'b1100000;                          // 0x60
1046
         8: polynom = 32'b10111000;                         // 0xb8
1047
         9: polynom = 32'b100010000;                        // 0x110
1048
        10: polynom = 32'b1001000000;                       // 0x240
1049
        11: polynom = 32'b10100000000;                      // 0x500
1050
        12: polynom = 32'b100000101001;                     // 0x829
1051
        13: polynom = 32'b1000000001100;                    // 0x100C
1052
        14: polynom = 32'b10000000010101;                   // 0x2015
1053
        15: polynom = 32'b110000000000000;                  // 0x6000
1054
        16: polynom = 32'b1101000000001000;                 // 0xD008
1055
        17: polynom = 32'b10010000000000000;                // 0x12000
1056
        18: polynom = 32'b100000010000000000;               // 0x20400
1057
        19: polynom = 32'b1000000000000100011;              // 0x40023
1058
        20: polynom = 32'b10010000000000000000;             // 0x90000
1059
        21: polynom = 32'b101000000000000000000;            // 0x140000
1060
        22: polynom = 32'b1100000000000000000000;           // 0x300000
1061
        23: polynom = 32'b10000100000000000000000;          // 0x420000
1062
        24: polynom = 32'b111000010000000000000000;         // 0xE10000
1063
        25: polynom = 32'b1001000000000000000000000;        // 0x1200000
1064
        26: polynom = 32'b10000000000000000000100011;       // 0x2000023
1065
        27: polynom = 32'b100000000000000000000010011;      // 0x4000013
1066
        28: polynom = 32'b1100100000000000000000000000;     // 0xC800000
1067
        29: polynom = 32'b10100000000000000000000000000;    // 0x14000000
1068
        30: polynom = 32'b100000000000000000000000101001;   // 0x20000029
1069
        31: polynom = 32'b1001000000000000000000000000000;  // 0x48000000
1070
        32: polynom = 32'b10000000001000000000000000000011; // 0x80200003
1071
        default: polynom = 32'b0;
1072
        endcase
1073
        lfsr_fb = qi[length];
1074
        for (i=length-1; i>=1; i=i-1) begin
1075
            if (polynom[i])
1076
                lfsr_fb = lfsr_fb  ~^ qi[i];
1077
        end
1078
    end
1079
   assign q_next = (qi == wrap_value) ? {length{1'b0}} :{qi[length-1:1],lfsr_fb};
1080
   always @ (posedge clk or posedge rst)
1081
     if (rst)
1082
       qi <= {length{1'b0}};
1083
     else
1084
     if (cke)
1085
       qi <= q_next;
1086
   always @ (posedge clk or posedge rst)
1087
     if (rst)
1088
       zq <= 1'b1;
1089
     else
1090
     if (cke)
1091
       zq <= q_next == {length{1'b0}};
1092
endmodule
1093
//////////////////////////////////////////////////////////////////////
1094
////                                                              ////
1095
////  Versatile counter                                           ////
1096
////                                                              ////
1097
////  Description                                                 ////
1098
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
1099
////  counter                                                     ////
1100
////                                                              ////
1101
////  To Do:                                                      ////
1102
////   - add LFSR with more taps                                  ////
1103
////                                                              ////
1104
////  Author(s):                                                  ////
1105
////      - Michael Unneback, unneback@opencores.org              ////
1106
////        ORSoC AB                                              ////
1107
////                                                              ////
1108
//////////////////////////////////////////////////////////////////////
1109
////                                                              ////
1110
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
1111
////                                                              ////
1112
//// This source file may be used and distributed without         ////
1113
//// restriction provided that this copyright statement is not    ////
1114
//// removed from the file and that any derivative work contains  ////
1115
//// the original copyright notice and the associated disclaimer. ////
1116
////                                                              ////
1117
//// This source file is free software; you can redistribute it   ////
1118
//// and/or modify it under the terms of the GNU Lesser General   ////
1119
//// Public License as published by the Free Software Foundation; ////
1120
//// either version 2.1 of the License, or (at your option) any   ////
1121
//// later version.                                               ////
1122
////                                                              ////
1123
//// This source is distributed in the hope that it will be       ////
1124
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1125
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1126
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1127
//// details.                                                     ////
1128
////                                                              ////
1129
//// You should have received a copy of the GNU Lesser General    ////
1130
//// Public License along with this source; if not, download it   ////
1131
//// from http://www.opencores.org/lgpl.shtml                     ////
1132
////                                                              ////
1133
//////////////////////////////////////////////////////////////////////
1134 6 unneback
// GRAY counter
1135 40 unneback
module vl_cnt_gray_ce_bin (
1136
 cke, q, q_bin, rst, clk);
1137 6 unneback
   parameter length = 4;
1138
   input cke;
1139
   output reg [length:1] q;
1140
   output [length:1] q_bin;
1141
   input rst;
1142
   input clk;
1143
   parameter clear_value = 0;
1144
   parameter set_value = 1;
1145
   parameter wrap_value = 8;
1146
   parameter level1_value = 15;
1147
   reg  [length:1] qi;
1148
   wire [length:1] q_next;
1149
   assign q_next = qi + {{length-1{1'b0}},1'b1};
1150
   always @ (posedge clk or posedge rst)
1151
     if (rst)
1152
       qi <= {length{1'b0}};
1153
     else
1154
     if (cke)
1155
       qi <= q_next;
1156
   always @ (posedge clk or posedge rst)
1157
     if (rst)
1158
       q <= {length{1'b0}};
1159
     else
1160
       if (cke)
1161
         q <= (q_next>>1) ^ q_next;
1162
   assign q_bin = qi;
1163
endmodule
1164
//////////////////////////////////////////////////////////////////////
1165
////                                                              ////
1166
////  Versatile library, counters                                 ////
1167
////                                                              ////
1168
////  Description                                                 ////
1169
////  counters                                                    ////
1170
////                                                              ////
1171
////                                                              ////
1172
////  To Do:                                                      ////
1173
////   - add more counters                                        ////
1174
////                                                              ////
1175
////  Author(s):                                                  ////
1176
////      - Michael Unneback, unneback@opencores.org              ////
1177
////        ORSoC AB                                              ////
1178
////                                                              ////
1179
//////////////////////////////////////////////////////////////////////
1180
////                                                              ////
1181
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1182
////                                                              ////
1183
//// This source file may be used and distributed without         ////
1184
//// restriction provided that this copyright statement is not    ////
1185
//// removed from the file and that any derivative work contains  ////
1186
//// the original copyright notice and the associated disclaimer. ////
1187
////                                                              ////
1188
//// This source file is free software; you can redistribute it   ////
1189
//// and/or modify it under the terms of the GNU Lesser General   ////
1190
//// Public License as published by the Free Software Foundation; ////
1191
//// either version 2.1 of the License, or (at your option) any   ////
1192
//// later version.                                               ////
1193
////                                                              ////
1194
//// This source is distributed in the hope that it will be       ////
1195
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1196
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1197
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1198
//// details.                                                     ////
1199
////                                                              ////
1200
//// You should have received a copy of the GNU Lesser General    ////
1201
//// Public License along with this source; if not, download it   ////
1202
//// from http://www.opencores.org/lgpl.shtml                     ////
1203
////                                                              ////
1204
//////////////////////////////////////////////////////////////////////
1205 18 unneback
module vl_cnt_shreg_wrap ( q, rst, clk);
1206 6 unneback
   parameter length = 4;
1207
   output reg [0:length-1] q;
1208
   input rst;
1209
   input clk;
1210
    always @ (posedge clk or posedge rst)
1211
    if (rst)
1212
        q <= {1'b1,{length-1{1'b0}}};
1213
    else
1214
        q <= {q[length-1],q[0:length-2]};
1215
endmodule
1216 18 unneback
module vl_cnt_shreg_ce_wrap ( cke, q, rst, clk);
1217 6 unneback
   parameter length = 4;
1218
   input cke;
1219
   output reg [0:length-1] q;
1220
   input rst;
1221
   input clk;
1222
    always @ (posedge clk or posedge rst)
1223
    if (rst)
1224
        q <= {1'b1,{length-1{1'b0}}};
1225
    else
1226
        if (cke)
1227
            q <= {q[length-1],q[0:length-2]};
1228
endmodule
1229 18 unneback
module vl_cnt_shreg_ce_clear ( cke, clear, q, rst, clk);
1230 6 unneback
   parameter length = 4;
1231
   input cke, clear;
1232
   output reg [0:length-1] q;
1233
   input rst;
1234
   input clk;
1235
    always @ (posedge clk or posedge rst)
1236
    if (rst)
1237
        q <= {1'b1,{length-1{1'b0}}};
1238
    else
1239
        if (cke)
1240
            if (clear)
1241
                q <= {1'b1,{length-1{1'b0}}};
1242
            else
1243
                q <= q >> 1;
1244
endmodule
1245 18 unneback
module vl_cnt_shreg_ce_clear_wrap ( cke, clear, q, rst, clk);
1246 6 unneback
   parameter length = 4;
1247
   input cke, clear;
1248
   output reg [0:length-1] q;
1249
   input rst;
1250
   input clk;
1251
    always @ (posedge clk or posedge rst)
1252
    if (rst)
1253
        q <= {1'b1,{length-1{1'b0}}};
1254
    else
1255
        if (cke)
1256
            if (clear)
1257
                q <= {1'b1,{length-1{1'b0}}};
1258
            else
1259
            q <= {q[length-1],q[0:length-2]};
1260
endmodule
1261
//////////////////////////////////////////////////////////////////////
1262
////                                                              ////
1263
////  Versatile library, memories                                 ////
1264
////                                                              ////
1265
////  Description                                                 ////
1266
////  memories                                                    ////
1267
////                                                              ////
1268
////                                                              ////
1269
////  To Do:                                                      ////
1270
////   - add more memory types                                    ////
1271
////                                                              ////
1272
////  Author(s):                                                  ////
1273
////      - Michael Unneback, unneback@opencores.org              ////
1274
////        ORSoC AB                                              ////
1275
////                                                              ////
1276
//////////////////////////////////////////////////////////////////////
1277
////                                                              ////
1278
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1279
////                                                              ////
1280
//// This source file may be used and distributed without         ////
1281
//// restriction provided that this copyright statement is not    ////
1282
//// removed from the file and that any derivative work contains  ////
1283
//// the original copyright notice and the associated disclaimer. ////
1284
////                                                              ////
1285
//// This source file is free software; you can redistribute it   ////
1286
//// and/or modify it under the terms of the GNU Lesser General   ////
1287
//// Public License as published by the Free Software Foundation; ////
1288
//// either version 2.1 of the License, or (at your option) any   ////
1289
//// later version.                                               ////
1290
////                                                              ////
1291
//// This source is distributed in the hope that it will be       ////
1292
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1293
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1294
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1295
//// details.                                                     ////
1296
////                                                              ////
1297
//// You should have received a copy of the GNU Lesser General    ////
1298
//// Public License along with this source; if not, download it   ////
1299
//// from http://www.opencores.org/lgpl.shtml                     ////
1300
////                                                              ////
1301
//////////////////////////////////////////////////////////////////////
1302
/// ROM
1303 7 unneback
module vl_rom_init ( adr, q, clk);
1304
   parameter data_width = 32;
1305
   parameter addr_width = 8;
1306 75 unneback
   parameter mem_size = 1<<addr_width;
1307 7 unneback
   input [(addr_width-1):0]       adr;
1308
   output reg [(data_width-1):0] q;
1309
   input                         clk;
1310 75 unneback
   reg [data_width-1:0] rom [mem_size-1:0];
1311 7 unneback
   parameter memory_file = "vl_rom.vmem";
1312
   initial
1313
     begin
1314
        $readmemh(memory_file, rom);
1315
     end
1316
   always @ (posedge clk)
1317
     q <= rom[adr];
1318
endmodule
1319 6 unneback
// Single port RAM
1320
module vl_ram ( d, adr, we, q, clk);
1321
   parameter data_width = 32;
1322
   parameter addr_width = 8;
1323 75 unneback
   parameter mem_size = 1<<addr_width;
1324 6 unneback
   input [(data_width-1):0]      d;
1325
   input [(addr_width-1):0]       adr;
1326
   input                         we;
1327 7 unneback
   output reg [(data_width-1):0] q;
1328 6 unneback
   input                         clk;
1329 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0];
1330 7 unneback
   parameter init = 0;
1331
   parameter memory_file = "vl_ram.vmem";
1332
   generate if (init) begin : init_mem
1333
   initial
1334
     begin
1335
        $readmemh(memory_file, ram);
1336
     end
1337
   end
1338
   endgenerate
1339 6 unneback
   always @ (posedge clk)
1340
   begin
1341
   if (we)
1342
     ram[adr] <= d;
1343
   q <= ram[adr];
1344
   end
1345
endmodule
1346 91 unneback
module vl_ram_be ( d, adr, be, we, q, clk);
1347 7 unneback
   parameter data_width = 32;
1348 72 unneback
   parameter addr_width = 6;
1349 75 unneback
   parameter mem_size = 1<<addr_width;
1350 7 unneback
   input [(data_width-1):0]      d;
1351
   input [(addr_width-1):0]       adr;
1352 73 unneback
   input [(data_width/8)-1:0]    be;
1353 7 unneback
   input                         we;
1354
   output reg [(data_width-1):0] q;
1355
   input                         clk;
1356 65 unneback
`ifdef SYSTEMVERILOG
1357 68 unneback
   logic [data_width/8-1:0][7:0] ram[0:mem_size-1];// # words = 1 << address width
1358 65 unneback
`else
1359 85 unneback
    reg [data_width-1:0] ram [mem_size-1:0];
1360
    wire [data_width/8-1:0] cke;
1361 65 unneback
`endif
1362 60 unneback
   parameter memory_init = 0;
1363 7 unneback
   parameter memory_file = "vl_ram.vmem";
1364 60 unneback
   generate if (memory_init) begin : init_mem
1365 7 unneback
   initial
1366
     begin
1367
        $readmemh(memory_file, ram);
1368
     end
1369
   end
1370
   endgenerate
1371 60 unneback
`ifdef SYSTEMVERILOG
1372
// use a multi-dimensional packed array
1373
//to model individual bytes within the word
1374
always_ff@(posedge clk)
1375
begin
1376
    if(we) begin // note: we should have a for statement to support any bus width
1377 86 unneback
        if(be[3]) ram[adr][3] <= d[31:24];
1378
        if(be[2]) ram[adr][2] <= d[23:16];
1379
        if(be[1]) ram[adr][1] <= d[15:8];
1380
        if(be[0]) ram[adr][0] <= d[7:0];
1381 60 unneback
    end
1382 90 unneback
        q <= ram[adr];
1383 60 unneback
end
1384
`else
1385 85 unneback
assign cke = {data_width/8{we}} & be;
1386 7 unneback
   genvar i;
1387 85 unneback
   generate for (i=0;i<data_width/8;i=i+1) begin : be_ram
1388 7 unneback
      always @ (posedge clk)
1389 85 unneback
      if (cke[i])
1390 7 unneback
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
1391
   end
1392
   endgenerate
1393
   always @ (posedge clk)
1394
      q <= ram[adr];
1395 60 unneback
`endif
1396 93 unneback
`ifdef verilator
1397 85 unneback
   // Function to access RAM (for use by Verilator).
1398
   function [31:0] get_mem;
1399
      // verilator public
1400 90 unneback
      input [addr_width-1:0]             addr;
1401 85 unneback
      get_mem = ram[addr];
1402
   endfunction // get_mem
1403
   // Function to write RAM (for use by Verilator).
1404
   function set_mem;
1405
      // verilator public
1406 90 unneback
      input [addr_width-1:0]             addr;
1407
      input [data_width-1:0]             data;
1408 85 unneback
      ram[addr] = data;
1409
   endfunction // set_mem
1410 93 unneback
`endif
1411 7 unneback
endmodule
1412
module vl_dpram_1r1w ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1413 6 unneback
   parameter data_width = 32;
1414
   parameter addr_width = 8;
1415 75 unneback
   parameter mem_size = 1<<addr_width;
1416 6 unneback
   input [(data_width-1):0]      d_a;
1417
   input [(addr_width-1):0]       adr_a;
1418
   input [(addr_width-1):0]       adr_b;
1419
   input                         we_a;
1420
   output [(data_width-1):0]      q_b;
1421
   input                         clk_a, clk_b;
1422
   reg [(addr_width-1):0]         adr_b_reg;
1423 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] ;
1424 7 unneback
   parameter init = 0;
1425
   parameter memory_file = "vl_ram.vmem";
1426
   generate if (init) begin : init_mem
1427
   initial
1428
     begin
1429
        $readmemh(memory_file, ram);
1430
     end
1431
   end
1432
   endgenerate
1433 6 unneback
   always @ (posedge clk_a)
1434
   if (we_a)
1435
     ram[adr_a] <= d_a;
1436
   always @ (posedge clk_b)
1437
   adr_b_reg <= adr_b;
1438
   assign q_b = ram[adr_b_reg];
1439
endmodule
1440 7 unneback
module vl_dpram_2r1w ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1441 6 unneback
   parameter data_width = 32;
1442
   parameter addr_width = 8;
1443 75 unneback
   parameter mem_size = 1<<addr_width;
1444 6 unneback
   input [(data_width-1):0]      d_a;
1445
   input [(addr_width-1):0]       adr_a;
1446
   input [(addr_width-1):0]       adr_b;
1447
   input                         we_a;
1448
   output [(data_width-1):0]      q_b;
1449
   output reg [(data_width-1):0] q_a;
1450
   input                         clk_a, clk_b;
1451
   reg [(data_width-1):0]         q_b;
1452 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] ;
1453 7 unneback
   parameter init = 0;
1454
   parameter memory_file = "vl_ram.vmem";
1455
   generate if (init) begin : init_mem
1456
   initial
1457
     begin
1458
        $readmemh(memory_file, ram);
1459
     end
1460
   end
1461
   endgenerate
1462 6 unneback
   always @ (posedge clk_a)
1463
     begin
1464
        q_a <= ram[adr_a];
1465
        if (we_a)
1466
             ram[adr_a] <= d_a;
1467
     end
1468
   always @ (posedge clk_b)
1469
          q_b <= ram[adr_b];
1470
endmodule
1471 7 unneback
module vl_dpram_2r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
1472 6 unneback
   parameter data_width = 32;
1473
   parameter addr_width = 8;
1474 75 unneback
   parameter mem_size = 1<<addr_width;
1475 6 unneback
   input [(data_width-1):0]      d_a;
1476
   input [(addr_width-1):0]       adr_a;
1477
   input [(addr_width-1):0]       adr_b;
1478
   input                         we_a;
1479
   output [(data_width-1):0]      q_b;
1480
   input [(data_width-1):0]       d_b;
1481
   output reg [(data_width-1):0] q_a;
1482
   input                         we_b;
1483
   input                         clk_a, clk_b;
1484
   reg [(data_width-1):0]         q_b;
1485 75 unneback
   reg [data_width-1:0] ram [mem_size-1:0] ;
1486 7 unneback
   parameter init = 0;
1487
   parameter memory_file = "vl_ram.vmem";
1488
   generate if (init) begin : init_mem
1489
   initial
1490
     begin
1491
        $readmemh(memory_file, ram);
1492
     end
1493
   end
1494
   endgenerate
1495 6 unneback
   always @ (posedge clk_a)
1496
     begin
1497
        q_a <= ram[adr_a];
1498
        if (we_a)
1499
             ram[adr_a] <= d_a;
1500
     end
1501
   always @ (posedge clk_b)
1502
     begin
1503
        q_b <= ram[adr_b];
1504
        if (we_b)
1505
          ram[adr_b] <= d_b;
1506
     end
1507
endmodule
1508 92 unneback
module vl_dpram_be_2r2w ( d_a, q_a, adr_a, be_a, we_a, clk_a, d_b, q_b, adr_b, be_b, we_b, clk_b );
1509 75 unneback
   parameter a_data_width = 32;
1510
   parameter a_addr_width = 8;
1511 92 unneback
   parameter b_data_width = a_data_width;
1512 91 unneback
   localparam b_addr_width = a_data_width * a_addr_width / b_data_width;
1513
   parameter mem_size = (a_addr_width>b_addr_width) ? (1<<a_addr_width) : (1<<b_addr_width);
1514 75 unneback
   input [(a_data_width-1):0]      d_a;
1515 91 unneback
   input [(a_addr_width-1):0]       adr_a;
1516
   input [(a_data_width/8-1):0]    be_a;
1517
   input                           we_a;
1518 75 unneback
   output reg [(a_data_width-1):0] q_a;
1519 91 unneback
   input [(b_data_width-1):0]       d_b;
1520
   input [(b_addr_width-1):0]       adr_b;
1521 92 unneback
   input [(b_data_width/8-1):0]    be_b;
1522
   input                           we_b;
1523
   output reg [(b_data_width-1):0]          q_b;
1524 91 unneback
   input                           clk_a, clk_b;
1525
`ifdef SYSTEMVERILOG
1526
// use a multi-dimensional packed array
1527
//to model individual bytes within the word
1528 75 unneback
generate
1529 91 unneback
if (a_data_width==32 & b_data_width==32) begin : dpram_3232
1530
   logic [3:0][7:0] ram [0:mem_size-1];
1531
    always_ff@(posedge clk_a)
1532
    begin
1533
        if(we_a) begin
1534
            if(be_a[3]) ram[adr_a][3] <= d_a[31:24];
1535
            if(be_a[2]) ram[adr_a][2] <= d_a[23:16];
1536
            if(be_a[1]) ram[adr_a][1] <= d_a[15:8];
1537
            if(be_a[0]) ram[adr_a][0] <= d_a[7:0];
1538
        end
1539
    end
1540 92 unneback
    always@(posedge clk_a)
1541
        q_a = ram[adr_a];
1542 91 unneback
    always_ff@(posedge clk_b)
1543 92 unneback
    begin
1544
        if(we_b) begin
1545
            if(be_b[3]) ram[adr_b][3] <= d_b[31:24];
1546
            if(be_b[2]) ram[adr_b][2] <= d_b[23:16];
1547
            if(be_b[1]) ram[adr_b][1] <= d_b[15:8];
1548
            if(be_b[0]) ram[adr_b][0] <= d_b[7:0];
1549
        end
1550
    end
1551
    always@(posedge clk_b)
1552
        q_b = ram[adr_b];
1553 75 unneback
end
1554
endgenerate
1555 91 unneback
`else
1556 92 unneback
    // This modules requires SystemVerilog
1557 91 unneback
`endif
1558 75 unneback
endmodule
1559 6 unneback
// FIFO
1560 25 unneback
module vl_fifo_1r1w_fill_level_sync (
1561
    d, wr, fifo_full,
1562
    q, rd, fifo_empty,
1563
    fill_level,
1564
    clk, rst
1565
    );
1566
parameter data_width = 18;
1567
parameter addr_width = 4;
1568
// write side
1569
input  [data_width-1:0] d;
1570
input                   wr;
1571
output                  fifo_full;
1572
// read side
1573
output [data_width-1:0] q;
1574
input                   rd;
1575
output                  fifo_empty;
1576
// common
1577
output [addr_width:0]   fill_level;
1578
input rst, clk;
1579
wire [addr_width:1] wadr, radr;
1580
vl_cnt_bin_ce
1581
    # ( .length(addr_width))
1582
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
1583
vl_cnt_bin_ce
1584
    # (.length(addr_width))
1585
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
1586
vl_dpram_1r1w
1587
    # (.data_width(data_width), .addr_width(addr_width))
1588
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
1589 31 unneback
vl_cnt_bin_ce_rew_q_zq_l1
1590 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
1591 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
1592
endmodule
1593 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
1594
// RAM is supposed to be larger than the two FIFOs
1595
// LFSR counters used adr pointers
1596
module vl_fifo_2r2w_sync_simplex (
1597
    // a side
1598
    a_d, a_wr, a_fifo_full,
1599
    a_q, a_rd, a_fifo_empty,
1600
    a_fill_level,
1601
    // b side
1602
    b_d, b_wr, b_fifo_full,
1603
    b_q, b_rd, b_fifo_empty,
1604
    b_fill_level,
1605
    // common
1606
    clk, rst
1607
    );
1608
parameter data_width = 8;
1609
parameter addr_width = 5;
1610
parameter fifo_full_level = (1<<addr_width)-1;
1611
// a side
1612
input  [data_width-1:0] a_d;
1613
input                   a_wr;
1614
output                  a_fifo_full;
1615
output [data_width-1:0] a_q;
1616
input                   a_rd;
1617
output                  a_fifo_empty;
1618
output [addr_width-1:0] a_fill_level;
1619
// b side
1620
input  [data_width-1:0] b_d;
1621
input                   b_wr;
1622
output                  b_fifo_full;
1623
output [data_width-1:0] b_q;
1624
input                   b_rd;
1625
output                  b_fifo_empty;
1626
output [addr_width-1:0] b_fill_level;
1627
input                   clk;
1628
input                   rst;
1629
// adr_gen
1630
wire [addr_width:1] a_wadr, a_radr;
1631
wire [addr_width:1] b_wadr, b_radr;
1632
// dpram
1633
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1634
vl_cnt_lfsr_ce
1635
    # ( .length(addr_width))
1636
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
1637
vl_cnt_lfsr_ce
1638
    # (.length(addr_width))
1639
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
1640
vl_cnt_lfsr_ce
1641
    # ( .length(addr_width))
1642
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
1643
vl_cnt_lfsr_ce
1644
    # (.length(addr_width))
1645
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
1646
// mux read or write adr to DPRAM
1647
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
1648
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
1649
vl_dpram_2r2w
1650
    # (.data_width(data_width), .addr_width(addr_width+1))
1651
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1652
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1653
vl_cnt_bin_ce_rew_zq_l1
1654 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1655 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
1656
vl_cnt_bin_ce_rew_zq_l1
1657 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1658 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
1659
endmodule
1660 6 unneback
module vl_fifo_cmp_async ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
1661 11 unneback
   parameter addr_width = 4;
1662
   parameter N = addr_width-1;
1663 6 unneback
   parameter Q1 = 2'b00;
1664
   parameter Q2 = 2'b01;
1665
   parameter Q3 = 2'b11;
1666
   parameter Q4 = 2'b10;
1667
   parameter going_empty = 1'b0;
1668
   parameter going_full  = 1'b1;
1669
   input [N:0]  wptr, rptr;
1670 14 unneback
   output       fifo_empty;
1671 6 unneback
   output       fifo_full;
1672
   input        wclk, rclk, rst;
1673
   wire direction;
1674
   reg  direction_set, direction_clr;
1675
   wire async_empty, async_full;
1676
   wire fifo_full2;
1677 14 unneback
   wire fifo_empty2;
1678 6 unneback
   // direction_set
1679
   always @ (wptr[N:N-1] or rptr[N:N-1])
1680
     case ({wptr[N:N-1],rptr[N:N-1]})
1681
       {Q1,Q2} : direction_set <= 1'b1;
1682
       {Q2,Q3} : direction_set <= 1'b1;
1683
       {Q3,Q4} : direction_set <= 1'b1;
1684
       {Q4,Q1} : direction_set <= 1'b1;
1685
       default : direction_set <= 1'b0;
1686
     endcase
1687
   // direction_clear
1688
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
1689
     if (rst)
1690
       direction_clr <= 1'b1;
1691
     else
1692
       case ({wptr[N:N-1],rptr[N:N-1]})
1693
         {Q2,Q1} : direction_clr <= 1'b1;
1694
         {Q3,Q2} : direction_clr <= 1'b1;
1695
         {Q4,Q3} : direction_clr <= 1'b1;
1696
         {Q1,Q4} : direction_clr <= 1'b1;
1697
         default : direction_clr <= 1'b0;
1698
       endcase
1699 18 unneback
    vl_dff_sr dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
1700 6 unneback
   assign async_empty = (wptr == rptr) && (direction==going_empty);
1701
   assign async_full  = (wptr == rptr) && (direction==going_full);
1702 18 unneback
    vl_dff_sr dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
1703
    vl_dff_sr dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
1704 6 unneback
/*
1705
   always @ (posedge wclk or posedge rst or posedge async_full)
1706
     if (rst)
1707
       {fifo_full, fifo_full2} <= 2'b00;
1708
     else if (async_full)
1709
       {fifo_full, fifo_full2} <= 2'b11;
1710
     else
1711
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
1712
*/
1713 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
1714 6 unneback
     if (async_empty)
1715
       {fifo_empty, fifo_empty2} <= 2'b11;
1716
     else
1717 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
1718 18 unneback
    vl_dff # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
1719
    vl_dff # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
1720 27 unneback
endmodule // async_compb
1721 6 unneback
module vl_fifo_1r1w_async (
1722
    d, wr, fifo_full, wr_clk, wr_rst,
1723
    q, rd, fifo_empty, rd_clk, rd_rst
1724
    );
1725
parameter data_width = 18;
1726
parameter addr_width = 4;
1727
// write side
1728
input  [data_width-1:0] d;
1729
input                   wr;
1730
output                  fifo_full;
1731
input                   wr_clk;
1732
input                   wr_rst;
1733
// read side
1734
output [data_width-1:0] q;
1735
input                   rd;
1736
output                  fifo_empty;
1737
input                   rd_clk;
1738
input                   rd_rst;
1739
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
1740 18 unneback
vl_cnt_gray_ce_bin
1741 6 unneback
    # ( .length(addr_width))
1742
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
1743 18 unneback
vl_cnt_gray_ce_bin
1744 6 unneback
    # (.length(addr_width))
1745 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
1746 7 unneback
vl_dpram_1r1w
1747 6 unneback
    # (.data_width(data_width), .addr_width(addr_width))
1748
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
1749
vl_fifo_cmp_async
1750
    # (.addr_width(addr_width))
1751
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
1752
endmodule
1753 8 unneback
module vl_fifo_2r2w_async (
1754 6 unneback
    // a side
1755
    a_d, a_wr, a_fifo_full,
1756
    a_q, a_rd, a_fifo_empty,
1757
    a_clk, a_rst,
1758
    // b side
1759
    b_d, b_wr, b_fifo_full,
1760
    b_q, b_rd, b_fifo_empty,
1761
    b_clk, b_rst
1762
    );
1763
parameter data_width = 18;
1764
parameter addr_width = 4;
1765
// a side
1766
input  [data_width-1:0] a_d;
1767
input                   a_wr;
1768
output                  a_fifo_full;
1769
output [data_width-1:0] a_q;
1770
input                   a_rd;
1771
output                  a_fifo_empty;
1772
input                   a_clk;
1773
input                   a_rst;
1774
// b side
1775
input  [data_width-1:0] b_d;
1776
input                   b_wr;
1777
output                  b_fifo_full;
1778
output [data_width-1:0] b_q;
1779
input                   b_rd;
1780
output                  b_fifo_empty;
1781
input                   b_clk;
1782
input                   b_rst;
1783
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1784
vl_fifo_1r1w_async_a (
1785
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
1786
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
1787
    );
1788
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1789
vl_fifo_1r1w_async_b (
1790
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
1791
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
1792
    );
1793
endmodule
1794 8 unneback
module vl_fifo_2r2w_async_simplex (
1795 6 unneback
    // a side
1796
    a_d, a_wr, a_fifo_full,
1797
    a_q, a_rd, a_fifo_empty,
1798
    a_clk, a_rst,
1799
    // b side
1800
    b_d, b_wr, b_fifo_full,
1801
    b_q, b_rd, b_fifo_empty,
1802
    b_clk, b_rst
1803
    );
1804
parameter data_width = 18;
1805
parameter addr_width = 4;
1806
// a side
1807
input  [data_width-1:0] a_d;
1808
input                   a_wr;
1809
output                  a_fifo_full;
1810
output [data_width-1:0] a_q;
1811
input                   a_rd;
1812
output                  a_fifo_empty;
1813
input                   a_clk;
1814
input                   a_rst;
1815
// b side
1816
input  [data_width-1:0] b_d;
1817
input                   b_wr;
1818
output                  b_fifo_full;
1819
output [data_width-1:0] b_q;
1820
input                   b_rd;
1821
output                  b_fifo_empty;
1822
input                   b_clk;
1823
input                   b_rst;
1824
// adr_gen
1825
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
1826
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
1827
// dpram
1828
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1829 18 unneback
vl_cnt_gray_ce_bin
1830 6 unneback
    # ( .length(addr_width))
1831
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
1832 18 unneback
vl_cnt_gray_ce_bin
1833 6 unneback
    # (.length(addr_width))
1834
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
1835 18 unneback
vl_cnt_gray_ce_bin
1836 6 unneback
    # ( .length(addr_width))
1837
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
1838 18 unneback
vl_cnt_gray_ce_bin
1839 6 unneback
    # (.length(addr_width))
1840
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
1841
// mux read or write adr to DPRAM
1842
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
1843
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
1844 11 unneback
vl_dpram_2r2w
1845 6 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
1846
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1847
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1848 11 unneback
vl_fifo_cmp_async
1849 6 unneback
    # (.addr_width(addr_width))
1850
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
1851 11 unneback
vl_fifo_cmp_async
1852 6 unneback
    # (.addr_width(addr_width))
1853
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
1854
endmodule
1855 48 unneback
module vl_reg_file (
1856
    a1, a2, a3, wd3, we3, rd1, rd2, clk
1857
);
1858
parameter data_width = 32;
1859
parameter addr_width = 5;
1860
input [addr_width-1:0] a1, a2, a3;
1861
input [data_width-1:0] wd3;
1862
input we3;
1863
output [data_width-1:0] rd1, rd2;
1864
input clk;
1865
vl_dpram_1r1w
1866
    # ( .data_width(data_width), .addr_width(addr_width))
1867
    ram1 (
1868
        .d_a(wd3),
1869
        .adr_a(a3),
1870
        .we_a(we3),
1871
        .clk_a(clk),
1872
        .q_b(rd1),
1873
        .adr_b(a1),
1874
        .clk_b(clk) );
1875
vl_dpram_1r1w
1876
    # ( .data_width(data_width), .addr_width(addr_width))
1877
    ram2 (
1878
        .d_a(wd3),
1879
        .adr_a(a3),
1880
        .we_a(we3),
1881
        .clk_a(clk),
1882
        .q_b(rd2),
1883
        .adr_b(a2),
1884
        .clk_b(clk) );
1885
endmodule
1886 12 unneback
//////////////////////////////////////////////////////////////////////
1887
////                                                              ////
1888
////  Versatile library, wishbone stuff                           ////
1889
////                                                              ////
1890
////  Description                                                 ////
1891
////  Wishbone compliant modules                                  ////
1892
////                                                              ////
1893
////                                                              ////
1894
////  To Do:                                                      ////
1895
////   -                                                          ////
1896
////                                                              ////
1897
////  Author(s):                                                  ////
1898
////      - Michael Unneback, unneback@opencores.org              ////
1899
////        ORSoC AB                                              ////
1900
////                                                              ////
1901
//////////////////////////////////////////////////////////////////////
1902
////                                                              ////
1903
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1904
////                                                              ////
1905
//// This source file may be used and distributed without         ////
1906
//// restriction provided that this copyright statement is not    ////
1907
//// removed from the file and that any derivative work contains  ////
1908
//// the original copyright notice and the associated disclaimer. ////
1909
////                                                              ////
1910
//// This source file is free software; you can redistribute it   ////
1911
//// and/or modify it under the terms of the GNU Lesser General   ////
1912
//// Public License as published by the Free Software Foundation; ////
1913
//// either version 2.1 of the License, or (at your option) any   ////
1914
//// later version.                                               ////
1915
////                                                              ////
1916
//// This source is distributed in the hope that it will be       ////
1917
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1918
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1919
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1920
//// details.                                                     ////
1921
////                                                              ////
1922
//// You should have received a copy of the GNU Lesser General    ////
1923
//// Public License along with this source; if not, download it   ////
1924
//// from http://www.opencores.org/lgpl.shtml                     ////
1925
////                                                              ////
1926
//////////////////////////////////////////////////////////////////////
1927
// async wb3 - wb3 bridge
1928
`timescale 1ns/1ns
1929 85 unneback
module vl_wb_adr_inc ( cyc_i, stb_i, cti_i, bte_i, adr_i, we_i, ack_o, adr_o, clk, rst);
1930 83 unneback
parameter adr_width = 10;
1931
parameter max_burst_width = 4;
1932 85 unneback
input cyc_i, stb_i, we_i;
1933 83 unneback
input [2:0] cti_i;
1934
input [1:0] bte_i;
1935
input [adr_width-1:0] adr_i;
1936
output [adr_width-1:0] adr_o;
1937
output ack_o;
1938
input clk, rst;
1939
reg [adr_width-1:0] adr;
1940 90 unneback
wire [max_burst_width-1:0] to_adr;
1941 91 unneback
reg [max_burst_width-1:0] last_adr;
1942 92 unneback
reg last_cycle;
1943
localparam idle_or_eoc = 1'b0;
1944
localparam cyc_or_ws   = 1'b1;
1945 91 unneback
always @ (posedge clk or posedge rst)
1946
if (rst)
1947
    last_adr <= {max_burst_width{1'b0}};
1948
else
1949
    if (stb_i)
1950 92 unneback
        last_adr <=adr_o[max_burst_width-1:0];
1951 83 unneback
generate
1952
if (max_burst_width==0) begin : inst_0
1953
    reg ack_o;
1954
    assign adr_o = adr_i;
1955
    always @ (posedge clk or posedge rst)
1956
    if (rst)
1957
        ack_o <= 1'b0;
1958
    else
1959
        ack_o <= cyc_i & stb_i & !ack_o;
1960
end else begin
1961
    always @ (posedge clk or posedge rst)
1962
    if (rst)
1963 92 unneback
        last_cycle <= idle_or_eoc;
1964 83 unneback
    else
1965 92 unneback
        last_cycle <= (!cyc_i) ? idle_or_eoc : //idle
1966
                      (cyc_i & ack_o & (cti_i==3'b000 | cti_i==3'b111)) ? idle_or_eoc : // eoc
1967
                      (cyc_i & !stb_i) ? cyc_or_ws : //ws
1968
                      cyc_or_ws; // cyc
1969
    assign to_adr = (last_cycle==idle_or_eoc) ? adr_i[max_burst_width-1:0] : adr[max_burst_width-1:0];
1970 85 unneback
    assign adr_o[max_burst_width-1:0] = (we_i) ? adr_i[max_burst_width-1:0] :
1971 91 unneback
                                        (!stb_i) ? last_adr :
1972 92 unneback
                                        (last_cycle==idle_or_eoc) ? adr_i[max_burst_width-1:0] :
1973 85 unneback
                                        adr[max_burst_width-1:0];
1974 92 unneback
    assign ack_o = (last_cycle==cyc_or_ws) & stb_i;
1975 83 unneback
end
1976
endgenerate
1977
generate
1978
if (max_burst_width==2) begin : inst_2
1979
    always @ (posedge clk or posedge rst)
1980
    if (rst)
1981
        adr <= 2'h0;
1982
    else
1983
        if (cyc_i & stb_i)
1984
            adr[1:0] <= to_adr[1:0] + 2'd1;
1985
        else
1986
            adr <= to_adr[1:0];
1987
end
1988
endgenerate
1989
generate
1990
if (max_burst_width==3) begin : inst_3
1991
    always @ (posedge clk or posedge rst)
1992
    if (rst)
1993
        adr <= 3'h0;
1994
    else
1995
        if (cyc_i & stb_i)
1996
            case (bte_i)
1997
            2'b01: adr[2:0] <= {to_adr[2],to_adr[1:0] + 2'd1};
1998
            default: adr[3:0] <= to_adr[2:0] + 3'd1;
1999
            endcase
2000
        else
2001
            adr <= to_adr[2:0];
2002
end
2003
endgenerate
2004
generate
2005
if (max_burst_width==4) begin : inst_4
2006
    always @ (posedge clk or posedge rst)
2007
    if (rst)
2008
        adr <= 4'h0;
2009
    else
2010 91 unneback
        if (stb_i) // | (!stb_i & last_cycle!=ws)) // for !stb_i restart with adr_i +1, only inc once
2011 83 unneback
            case (bte_i)
2012
            2'b01: adr[3:0] <= {to_adr[3:2],to_adr[1:0] + 2'd1};
2013
            2'b10: adr[3:0] <= {to_adr[3],to_adr[2:0] + 3'd1};
2014
            default: adr[3:0] <= to_adr + 4'd1;
2015
            endcase
2016
        else
2017
            adr <= to_adr[3:0];
2018
end
2019
endgenerate
2020
generate
2021
if (adr_width > max_burst_width) begin : pass_through
2022
    assign adr_o[adr_width-1:max_burst_width] = adr_i[adr_width-1:max_burst_width];
2023
end
2024
endgenerate
2025
endmodule
2026
// async wb3 - wb3 bridge
2027
`timescale 1ns/1ns
2028 18 unneback
module vl_wb3wb3_bridge (
2029 12 unneback
        // wishbone slave side
2030
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2031
        // wishbone master side
2032
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
2033
input [31:0] wbs_dat_i;
2034
input [31:2] wbs_adr_i;
2035
input [3:0]  wbs_sel_i;
2036
input [1:0]  wbs_bte_i;
2037
input [2:0]  wbs_cti_i;
2038
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
2039
output [31:0] wbs_dat_o;
2040 14 unneback
output wbs_ack_o;
2041 12 unneback
input wbs_clk, wbs_rst;
2042
output [31:0] wbm_dat_o;
2043
output reg [31:2] wbm_adr_o;
2044
output [3:0]  wbm_sel_o;
2045
output reg [1:0]  wbm_bte_o;
2046
output reg [2:0]  wbm_cti_o;
2047 14 unneback
output reg wbm_we_o;
2048
output wbm_cyc_o;
2049 12 unneback
output wbm_stb_o;
2050
input [31:0]  wbm_dat_i;
2051
input wbm_ack_i;
2052
input wbm_clk, wbm_rst;
2053
parameter addr_width = 4;
2054
// bte
2055
parameter linear       = 2'b00;
2056
parameter wrap4        = 2'b01;
2057
parameter wrap8        = 2'b10;
2058
parameter wrap16       = 2'b11;
2059
// cti
2060
parameter classic      = 3'b000;
2061
parameter incburst     = 3'b010;
2062
parameter endofburst   = 3'b111;
2063
parameter wbs_adr  = 1'b0;
2064
parameter wbs_data = 1'b1;
2065 33 unneback
parameter wbm_adr0      = 2'b00;
2066
parameter wbm_adr1      = 2'b01;
2067
parameter wbm_data      = 2'b10;
2068
parameter wbm_data_wait = 2'b11;
2069 12 unneback
reg [1:0] wbs_bte_reg;
2070
reg wbs;
2071
wire wbs_eoc_alert, wbm_eoc_alert;
2072
reg wbs_eoc, wbm_eoc;
2073
reg [1:0] wbm;
2074 14 unneback
wire [1:16] wbs_count, wbm_count;
2075 12 unneback
wire [35:0] a_d, a_q, b_d, b_q;
2076
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
2077
reg a_rd_reg;
2078
wire b_rd_adr, b_rd_data;
2079 14 unneback
wire b_rd_data_reg;
2080
wire [35:0] temp;
2081 12 unneback
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
2082
always @ (posedge wbs_clk or posedge wbs_rst)
2083
if (wbs_rst)
2084
        wbs_eoc <= 1'b0;
2085
else
2086
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
2087 78 unneback
                wbs_eoc <= (wbs_bte_i==linear) | (wbs_cti_i==3'b111);
2088 12 unneback
        else if (wbs_eoc_alert & (a_rd | a_wr))
2089
                wbs_eoc <= 1'b1;
2090 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2091 12 unneback
    cnt0 (
2092
        .cke(wbs_ack_o),
2093
        .clear(wbs_eoc),
2094
        .q(wbs_count),
2095
        .rst(wbs_rst),
2096
        .clk(wbs_clk));
2097
always @ (posedge wbs_clk or posedge wbs_rst)
2098
if (wbs_rst)
2099
        wbs <= wbs_adr;
2100
else
2101 75 unneback
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & a_fifo_empty)
2102 12 unneback
                wbs <= wbs_data;
2103
        else if (wbs_eoc & wbs_ack_o)
2104
                wbs <= wbs_adr;
2105
// wbs FIFO
2106 75 unneback
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,((wbs_cti_i==3'b111) ? {2'b00,3'b000} : {wbs_bte_i,wbs_cti_i})} : {wbs_dat_i,wbs_sel_i};
2107
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & a_fifo_empty :
2108 12 unneback
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
2109
              1'b0;
2110
assign a_rd = !a_fifo_empty;
2111
always @ (posedge wbs_clk or posedge wbs_rst)
2112
if (wbs_rst)
2113
        a_rd_reg <= 1'b0;
2114
else
2115
        a_rd_reg <= a_rd;
2116
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
2117
assign wbs_dat_o = a_q[35:4];
2118
always @ (posedge wbs_clk or posedge wbs_rst)
2119
if (wbs_rst)
2120 13 unneback
        wbs_bte_reg <= 2'b00;
2121 12 unneback
else
2122 13 unneback
        wbs_bte_reg <= wbs_bte_i;
2123 12 unneback
// wbm FIFO
2124
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
2125
always @ (posedge wbm_clk or posedge wbm_rst)
2126
if (wbm_rst)
2127
        wbm_eoc <= 1'b0;
2128
else
2129
        if (wbm==wbm_adr0 & !b_fifo_empty)
2130
                wbm_eoc <= b_q[4:3] == linear;
2131
        else if (wbm_eoc_alert & wbm_ack_i)
2132
                wbm_eoc <= 1'b1;
2133
always @ (posedge wbm_clk or posedge wbm_rst)
2134
if (wbm_rst)
2135
        wbm <= wbm_adr0;
2136
else
2137 33 unneback
/*
2138 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
2139
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
2140
        (wbm==wbm_adr1 & !wbm_we_o) |
2141
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
2142
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
2143 33 unneback
*/
2144
    case (wbm)
2145
    wbm_adr0:
2146
        if (!b_fifo_empty)
2147
            wbm <= wbm_adr1;
2148
    wbm_adr1:
2149
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
2150
            wbm <= wbm_data;
2151
    wbm_data:
2152
        if (wbm_ack_i & wbm_eoc)
2153
            wbm <= wbm_adr0;
2154
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
2155
            wbm <= wbm_data_wait;
2156
    wbm_data_wait:
2157
        if (!b_fifo_empty)
2158
            wbm <= wbm_data;
2159
    endcase
2160 12 unneback
assign b_d = {wbm_dat_i,4'b1111};
2161
assign b_wr = !wbm_we_o & wbm_ack_i;
2162
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
2163
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
2164
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
2165 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
2166 12 unneback
                   1'b0;
2167
assign b_rd = b_rd_adr | b_rd_data;
2168 18 unneback
vl_dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
2169
vl_dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
2170 12 unneback
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
2171 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2172 12 unneback
    cnt1 (
2173
        .cke(wbm_ack_i),
2174
        .clear(wbm_eoc),
2175
        .q(wbm_count),
2176
        .rst(wbm_rst),
2177
        .clk(wbm_clk));
2178 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
2179
assign wbm_stb_o = (wbm==wbm_data);
2180 12 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
2181
if (wbm_rst)
2182
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
2183
else begin
2184
        if (wbm==wbm_adr0 & !b_fifo_empty)
2185
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
2186
        else if (wbm_eoc_alert & wbm_ack_i)
2187
                wbm_cti_o <= endofburst;
2188
end
2189
//async_fifo_dw_simplex_top
2190
vl_fifo_2r2w_async_simplex
2191
# ( .data_width(36), .addr_width(addr_width))
2192
fifo (
2193
    // a side
2194
    .a_d(a_d),
2195
    .a_wr(a_wr),
2196
    .a_fifo_full(a_fifo_full),
2197
    .a_q(a_q),
2198
    .a_rd(a_rd),
2199
    .a_fifo_empty(a_fifo_empty),
2200
    .a_clk(wbs_clk),
2201
    .a_rst(wbs_rst),
2202
    // b side
2203
    .b_d(b_d),
2204
    .b_wr(b_wr),
2205
    .b_fifo_full(b_fifo_full),
2206
    .b_q(b_q),
2207
    .b_rd(b_rd),
2208
    .b_fifo_empty(b_fifo_empty),
2209
    .b_clk(wbm_clk),
2210
    .b_rst(wbm_rst)
2211
    );
2212
endmodule
2213 75 unneback
module vl_wb3avalon_bridge (
2214
        // wishbone slave side
2215
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2216 77 unneback
        // avalon master side
2217 75 unneback
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst);
2218 85 unneback
parameter linewrapburst = 1'b0;
2219 75 unneback
input [31:0] wbs_dat_i;
2220
input [31:2] wbs_adr_i;
2221
input [3:0]  wbs_sel_i;
2222
input [1:0]  wbs_bte_i;
2223
input [2:0]  wbs_cti_i;
2224 83 unneback
input wbs_we_i;
2225
input wbs_cyc_i;
2226
input wbs_stb_i;
2227 75 unneback
output [31:0] wbs_dat_o;
2228
output wbs_ack_o;
2229
input wbs_clk, wbs_rst;
2230
input [31:0] readdata;
2231
output [31:0] writedata;
2232
output [31:2] address;
2233
output [3:0]  be;
2234
output write;
2235 81 unneback
output read;
2236 75 unneback
output beginbursttransfer;
2237
output [3:0] burstcount;
2238
input readdatavalid;
2239
input waitrequest;
2240
input clk;
2241
input rst;
2242
wire [1:0] wbm_bte_o;
2243
wire [2:0] wbm_cti_o;
2244
wire wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_ack_i;
2245
reg last_cyc;
2246 79 unneback
reg [3:0] counter;
2247 82 unneback
reg read_busy;
2248 75 unneback
always @ (posedge clk or posedge rst)
2249
if (rst)
2250
    last_cyc <= 1'b0;
2251
else
2252
    last_cyc <= wbm_cyc_o;
2253 79 unneback
always @ (posedge clk or posedge rst)
2254
if (rst)
2255 82 unneback
    read_busy <= 1'b0;
2256 79 unneback
else
2257 82 unneback
    if (read & !waitrequest)
2258
        read_busy <= 1'b1;
2259
    else if (wbm_ack_i & wbm_cti_o!=3'b010)
2260
        read_busy <= 1'b0;
2261
assign read = wbm_cyc_o & wbm_stb_o & !wbm_we_o & !read_busy;
2262 75 unneback
assign beginbursttransfer = (!last_cyc & wbm_cyc_o) & wbm_cti_o==3'b010;
2263
assign burstcount = (wbm_bte_o==2'b01) ? 4'd4 :
2264
                    (wbm_bte_o==2'b10) ? 4'd8 :
2265 78 unneback
                    (wbm_bte_o==2'b11) ? 4'd16:
2266
                    4'd1;
2267 82 unneback
assign wbm_ack_i = (readdatavalid) | (write & !waitrequest);
2268 79 unneback
always @ (posedge clk or posedge rst)
2269
if (rst) begin
2270
    counter <= 4'd0;
2271
end else
2272 80 unneback
    if (wbm_we_o) begin
2273
        if (!waitrequest & !last_cyc & wbm_cyc_o) begin
2274 85 unneback
            counter <= burstcount -4'd1;
2275 80 unneback
        end else if (waitrequest & !last_cyc & wbm_cyc_o) begin
2276
            counter <= burstcount;
2277
        end else if (!waitrequest & wbm_stb_o) begin
2278
            counter <= counter - 4'd1;
2279
        end
2280 82 unneback
    end
2281 81 unneback
assign write = wbm_cyc_o & wbm_stb_o & wbm_we_o & counter!=4'd0;
2282 77 unneback
vl_wb3wb3_bridge wbwb3inst (
2283 75 unneback
    // wishbone slave side
2284
    .wbs_dat_i(wbs_dat_i),
2285
    .wbs_adr_i(wbs_adr_i),
2286
    .wbs_sel_i(wbs_sel_i),
2287
    .wbs_bte_i(wbs_bte_i),
2288
    .wbs_cti_i(wbs_cti_i),
2289
    .wbs_we_i(wbs_we_i),
2290
    .wbs_cyc_i(wbs_cyc_i),
2291
    .wbs_stb_i(wbs_stb_i),
2292
    .wbs_dat_o(wbs_dat_o),
2293
    .wbs_ack_o(wbs_ack_o),
2294
    .wbs_clk(wbs_clk),
2295
    .wbs_rst(wbs_rst),
2296
    // wishbone master side
2297
    .wbm_dat_o(writedata),
2298 78 unneback
    .wbm_adr_o(address),
2299 75 unneback
    .wbm_sel_o(be),
2300
    .wbm_bte_o(wbm_bte_o),
2301
    .wbm_cti_o(wbm_cti_o),
2302
    .wbm_we_o(wbm_we_o),
2303
    .wbm_cyc_o(wbm_cyc_o),
2304
    .wbm_stb_o(wbm_stb_o),
2305
    .wbm_dat_i(readdata),
2306
    .wbm_ack_i(wbm_ack_i),
2307
    .wbm_clk(clk),
2308
    .wbm_rst(rst));
2309
endmodule
2310 39 unneback
module vl_wb3_arbiter_type1 (
2311
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
2312
    wbm_dat_i, wbm_ack_i, wbm_err_i, wbm_rty_i,
2313
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2314
    wbs_dat_o, wbs_ack_o, wbs_err_o, wbs_rty_o,
2315
    wb_clk, wb_rst
2316
);
2317
parameter nr_of_ports = 3;
2318
parameter adr_size = 26;
2319
parameter adr_lo   = 2;
2320
parameter dat_size = 32;
2321
parameter sel_size = dat_size/8;
2322
localparam aw = (adr_size - adr_lo) * nr_of_ports;
2323
localparam dw = dat_size * nr_of_ports;
2324
localparam sw = sel_size * nr_of_ports;
2325
localparam cw = 3 * nr_of_ports;
2326
localparam bw = 2 * nr_of_ports;
2327
input  [dw-1:0] wbm_dat_o;
2328
input  [aw-1:0] wbm_adr_o;
2329
input  [sw-1:0] wbm_sel_o;
2330
input  [cw-1:0] wbm_cti_o;
2331
input  [bw-1:0] wbm_bte_o;
2332
input  [nr_of_ports-1:0] wbm_we_o, wbm_stb_o, wbm_cyc_o;
2333
output [dw-1:0] wbm_dat_i;
2334
output [nr_of_ports-1:0] wbm_ack_i, wbm_err_i, wbm_rty_i;
2335
output [dat_size-1:0] wbs_dat_i;
2336
output [adr_size-1:adr_lo] wbs_adr_i;
2337
output [sel_size-1:0] wbs_sel_i;
2338
output [2:0] wbs_cti_i;
2339
output [1:0] wbs_bte_i;
2340
output wbs_we_i, wbs_stb_i, wbs_cyc_i;
2341
input  [dat_size-1:0] wbs_dat_o;
2342
input  wbs_ack_o, wbs_err_o, wbs_rty_o;
2343
input wb_clk, wb_rst;
2344 44 unneback
reg  [nr_of_ports-1:0] select;
2345 39 unneback
wire [nr_of_ports-1:0] state;
2346
wire [nr_of_ports-1:0] eoc; // end-of-cycle
2347
wire [nr_of_ports-1:0] sel;
2348
wire idle;
2349
genvar i;
2350
assign idle = !(|state);
2351
generate
2352
if (nr_of_ports == 2) begin
2353
    wire [2:0] wbm1_cti_o, wbm0_cti_o;
2354
    assign {wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2355 44 unneback
    //assign select = (idle) ? {wbm_cyc_o[1],!wbm_cyc_o[1] & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2356
    always @ (idle or wbm_cyc_o)
2357
    if (idle)
2358
        casex (wbm_cyc_o)
2359
        2'b1x : select = 2'b10;
2360
        2'b01 : select = 2'b01;
2361
        default : select = {nr_of_ports{1'b0}};
2362
        endcase
2363
    else
2364
        select = {nr_of_ports{1'b0}};
2365 39 unneback
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2366
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2367
end
2368
endgenerate
2369
generate
2370
if (nr_of_ports == 3) begin
2371
    wire [2:0] wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2372
    assign {wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2373 44 unneback
    always @ (idle or wbm_cyc_o)
2374
    if (idle)
2375
        casex (wbm_cyc_o)
2376
        3'b1xx : select = 3'b100;
2377
        3'b01x : select = 3'b010;
2378
        3'b001 : select = 3'b001;
2379
        default : select = {nr_of_ports{1'b0}};
2380
        endcase
2381
    else
2382
        select = {nr_of_ports{1'b0}};
2383
//    assign select = (idle) ? {wbm_cyc_o[2],!wbm_cyc_o[2] & wbm_cyc_o[1],wbm_cyc_o[2:1]==2'b00 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2384 39 unneback
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2385
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2386
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2387
end
2388
endgenerate
2389
generate
2390 44 unneback
if (nr_of_ports == 4) begin
2391
    wire [2:0] wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2392
    assign {wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2393
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2394
    always @ (idle or wbm_cyc_o)
2395
    if (idle)
2396
        casex (wbm_cyc_o)
2397
        4'b1xxx : select = 4'b1000;
2398
        4'b01xx : select = 4'b0100;
2399
        4'b001x : select = 4'b0010;
2400
        4'b0001 : select = 4'b0001;
2401
        default : select = {nr_of_ports{1'b0}};
2402
        endcase
2403
    else
2404
        select = {nr_of_ports{1'b0}};
2405
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2406
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2407
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2408
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2409
end
2410
endgenerate
2411
generate
2412
if (nr_of_ports == 5) begin
2413
    wire [2:0] wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2414
    assign {wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2415
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2416
    always @ (idle or wbm_cyc_o)
2417
    if (idle)
2418
        casex (wbm_cyc_o)
2419
        5'b1xxxx : select = 5'b10000;
2420
        5'b01xxx : select = 5'b01000;
2421
        5'b001xx : select = 5'b00100;
2422
        5'b0001x : select = 5'b00010;
2423
        5'b00001 : select = 5'b00001;
2424
        default : select = {nr_of_ports{1'b0}};
2425
        endcase
2426
    else
2427
        select = {nr_of_ports{1'b0}};
2428
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2429
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2430
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2431
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2432
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2433
end
2434
endgenerate
2435
generate
2436 67 unneback
if (nr_of_ports == 6) begin
2437
    wire [2:0] wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2438
    assign {wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2439
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2440
    always @ (idle or wbm_cyc_o)
2441
    if (idle)
2442
        casex (wbm_cyc_o)
2443
        6'b1xxxxx : select = 6'b100000;
2444
        6'b01xxxx : select = 6'b010000;
2445
        6'b001xxx : select = 6'b001000;
2446
        6'b0001xx : select = 6'b000100;
2447
        6'b00001x : select = 6'b000010;
2448
        6'b000001 : select = 6'b000001;
2449
        default : select = {nr_of_ports{1'b0}};
2450
        endcase
2451
    else
2452
        select = {nr_of_ports{1'b0}};
2453
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2454
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2455
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2456
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2457
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2458
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2459
end
2460
endgenerate
2461
generate
2462
if (nr_of_ports == 7) begin
2463
    wire [2:0] wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2464
    assign {wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2465
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2466
    always @ (idle or wbm_cyc_o)
2467
    if (idle)
2468
        casex (wbm_cyc_o)
2469
        7'b1xxxxxx : select = 7'b1000000;
2470
        7'b01xxxxx : select = 7'b0100000;
2471
        7'b001xxxx : select = 7'b0010000;
2472
        7'b0001xxx : select = 7'b0001000;
2473
        7'b00001xx : select = 7'b0000100;
2474
        7'b000001x : select = 7'b0000010;
2475
        7'b0000001 : select = 7'b0000001;
2476
        default : select = {nr_of_ports{1'b0}};
2477
        endcase
2478
    else
2479
        select = {nr_of_ports{1'b0}};
2480
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2481
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2482
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2483
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2484
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2485
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2486
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2487
end
2488
endgenerate
2489
generate
2490
if (nr_of_ports == 8) begin
2491
    wire [2:0] wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2492
    assign {wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2493
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2494
    always @ (idle or wbm_cyc_o)
2495
    if (idle)
2496
        casex (wbm_cyc_o)
2497
        8'b1xxxxxxx : select = 8'b10000000;
2498
        8'b01xxxxxx : select = 8'b01000000;
2499
        8'b001xxxxx : select = 8'b00100000;
2500
        8'b0001xxxx : select = 8'b00010000;
2501
        8'b00001xxx : select = 8'b00001000;
2502
        8'b000001xx : select = 8'b00000100;
2503
        8'b0000001x : select = 8'b00000010;
2504
        8'b00000001 : select = 8'b00000001;
2505
        default : select = {nr_of_ports{1'b0}};
2506
        endcase
2507
    else
2508
        select = {nr_of_ports{1'b0}};
2509
    assign eoc[7] = (wbm_ack_i[7] & (wbm7_cti_o == 3'b000 | wbm7_cti_o == 3'b111)) | !wbm_cyc_o[7];
2510
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2511
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2512
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2513
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2514
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2515
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2516
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2517
end
2518
endgenerate
2519
generate
2520 63 unneback
for (i=0;i<nr_of_ports;i=i+1) begin : spr0
2521 39 unneback
    vl_spr sr0( .sp(select[i]), .r(eoc[i]), .q(state[i]), .clk(wb_clk), .rst(wb_rst));
2522
end
2523
endgenerate
2524
    assign sel = select | state;
2525
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(32)) mux0 ( .a(wbm_dat_o), .sel(sel), .dout(wbs_dat_i));
2526
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(adr_size-adr_lo)) mux1 ( .a(wbm_adr_o), .sel(sel), .dout(wbs_adr_i));
2527
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(sel_size)) mux2 ( .a(wbm_sel_o), .sel(sel), .dout(wbs_sel_i));
2528
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(3)) mux3 ( .a(wbm_cti_o), .sel(sel), .dout(wbs_cti_i));
2529
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(2)) mux4 ( .a(wbm_bte_o), .sel(sel), .dout(wbs_bte_i));
2530
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux5 ( .a(wbm_we_o), .sel(sel), .dout(wbs_we_i));
2531
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux6 ( .a(wbm_stb_o), .sel(sel), .dout(wbs_stb_i));
2532
    assign wbs_cyc_i = |sel;
2533
    assign wbm_dat_i = {nr_of_ports{wbs_dat_o}};
2534
    assign wbm_ack_i = {nr_of_ports{wbs_ack_o}} & sel;
2535
    assign wbm_err_i = {nr_of_ports{wbs_err_o}} & sel;
2536
    assign wbm_rty_i = {nr_of_ports{wbs_rty_o}} & sel;
2537
endmodule
2538 49 unneback
// WB RAM with byte enable
2539 59 unneback
module vl_wb_b3_ram_be (
2540 69 unneback
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2541
    wbs_dat_o, wbs_ack_o, wb_clk, wb_rst);
2542 68 unneback
parameter adr_size = 16;
2543 85 unneback
parameter mem_size = 1<<adr_size;
2544 60 unneback
parameter dat_size = 32;
2545 83 unneback
parameter max_burst_width = 4;
2546 60 unneback
parameter memory_init = 1;
2547
parameter memory_file = "vl_ram.vmem";
2548 85 unneback
localparam aw = (adr_size);
2549 69 unneback
localparam dw = dat_size;
2550
localparam sw = dat_size/8;
2551
localparam cw = 3;
2552
localparam bw = 2;
2553 70 unneback
input [dw-1:0] wbs_dat_i;
2554
input [aw-1:0] wbs_adr_i;
2555
input [cw-1:0] wbs_cti_i;
2556
input [bw-1:0] wbs_bte_i;
2557
input [sw-1:0] wbs_sel_i;
2558
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2559
output [dw-1:0] wbs_dat_o;
2560
output wbs_ack_o;
2561 71 unneback
input wb_clk, wb_rst;
2562 83 unneback
wire [aw-1:0] adr;
2563 60 unneback
vl_ram_be # (
2564
    .data_width(dat_size),
2565 83 unneback
    .addr_width(aw),
2566 69 unneback
    .mem_size(mem_size),
2567 68 unneback
    .memory_init(memory_init),
2568
    .memory_file(memory_file))
2569 60 unneback
ram0(
2570
    .d(wbs_dat_i),
2571 83 unneback
    .adr(adr),
2572 60 unneback
    .be(wbs_sel_i),
2573 86 unneback
    .we(wbs_we_i & wbs_ack_o),
2574 60 unneback
    .q(wbs_dat_o),
2575
    .clk(wb_clk)
2576
);
2577 83 unneback
vl_wb_adr_inc # ( .adr_width(aw), .max_burst_width(max_burst_width)) adr_inc0 (
2578
    .cyc_i(wbs_cyc_i),
2579
    .stb_i(wbs_stb_i),
2580
    .cti_i(wbs_cti_i),
2581
    .bte_i(wbs_bte_i),
2582
    .adr_i(wbs_adr_i),
2583 85 unneback
    .we_i(wbs_we_i),
2584 83 unneback
    .ack_o(wbs_ack_o),
2585
    .adr_o(adr),
2586
    .clk(wb_clk),
2587
    .rst(wb_rst));
2588 59 unneback
endmodule
2589
// WB RAM with byte enable
2590 49 unneback
module vl_wb_b4_ram_be (
2591
    wb_dat_i, wb_adr_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
2592 52 unneback
    wb_dat_o, wb_stall_o, wb_ack_o, wb_clk, wb_rst);
2593 49 unneback
    parameter dat_width = 32;
2594
    parameter adr_width = 8;
2595
input [dat_width-1:0] wb_dat_i;
2596
input [adr_width-1:0] wb_adr_i;
2597
input [dat_width/8-1:0] wb_sel_i;
2598
input wb_we_i, wb_stb_i, wb_cyc_i;
2599
output [dat_width-1:0] wb_dat_o;
2600 51 unneback
reg [dat_width-1:0] wb_dat_o;
2601 52 unneback
output wb_stall_o;
2602 49 unneback
output wb_ack_o;
2603
reg wb_ack_o;
2604
input wb_clk, wb_rst;
2605 56 unneback
wire [dat_width/8-1:0] cke;
2606 49 unneback
generate
2607
if (dat_width==32) begin
2608 51 unneback
reg [7:0] ram3 [1<<(adr_width-2)-1:0];
2609
reg [7:0] ram2 [1<<(adr_width-2)-1:0];
2610
reg [7:0] ram1 [1<<(adr_width-2)-1:0];
2611
reg [7:0] ram0 [1<<(adr_width-2)-1:0];
2612 56 unneback
assign cke = wb_sel_i & {(dat_width/8){wb_we_i}};
2613 49 unneback
    always @ (posedge wb_clk)
2614
    begin
2615 56 unneback
        if (cke[3]) ram3[wb_adr_i[adr_width-1:2]] <= wb_dat_i[31:24];
2616
        if (cke[2]) ram2[wb_adr_i[adr_width-1:2]] <= wb_dat_i[23:16];
2617
        if (cke[1]) ram1[wb_adr_i[adr_width-1:2]] <= wb_dat_i[15:8];
2618
        if (cke[0]) ram0[wb_adr_i[adr_width-1:2]] <= wb_dat_i[7:0];
2619 49 unneback
    end
2620 59 unneback
    always @ (posedge wb_clk or posedge wb_rst)
2621
    begin
2622
        if (wb_rst)
2623
            wb_dat_o <= 32'h0;
2624
        else
2625
            wb_dat_o <= {ram3[wb_adr_i[adr_width-1:2]],ram2[wb_adr_i[adr_width-1:2]],ram1[wb_adr_i[adr_width-1:2]],ram0[wb_adr_i[adr_width-1:2]]};
2626
    end
2627 49 unneback
end
2628
endgenerate
2629 52 unneback
always @ (posedge wb_clk or posedge wb_rst)
2630 55 unneback
if (wb_rst)
2631 52 unneback
    wb_ack_o <= 1'b0;
2632
else
2633 54 unneback
    wb_ack_o <= wb_stb_i & wb_cyc_i;
2634 52 unneback
assign wb_stall_o = 1'b0;
2635 49 unneback
endmodule
2636 17 unneback
// WB ROM
2637 48 unneback
module vl_wb_b4_rom (
2638
    wb_adr_i, wb_stb_i, wb_cyc_i,
2639
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
2640
    parameter dat_width = 32;
2641
    parameter dat_default = 32'h15000000;
2642
    parameter adr_width = 32;
2643
/*
2644
`ifndef ROM
2645
`define ROM "rom.v"
2646
`endif
2647
*/
2648
    input [adr_width-1:2]   wb_adr_i;
2649
    input                   wb_stb_i;
2650
    input                   wb_cyc_i;
2651
    output [dat_width-1:0]  wb_dat_o;
2652
    reg [dat_width-1:0]     wb_dat_o;
2653
    output                  wb_ack_o;
2654
    reg                     wb_ack_o;
2655
    output                  stall_o;
2656
    input                   wb_clk;
2657
    input                   wb_rst;
2658
always @ (posedge wb_clk or posedge wb_rst)
2659
    if (wb_rst)
2660
        wb_dat_o <= {dat_width{1'b0}};
2661
    else
2662
         case (wb_adr_i[adr_width-1:2])
2663
`ifdef ROM
2664
`include `ROM
2665
`endif
2666
           default:
2667
             wb_dat_o <= dat_default;
2668
         endcase // case (wb_adr_i)
2669
always @ (posedge wb_clk or posedge wb_rst)
2670
    if (wb_rst)
2671
        wb_ack_o <= 1'b0;
2672
    else
2673
        wb_ack_o <= wb_stb_i & wb_cyc_i;
2674
assign stall_o = 1'b0;
2675
endmodule
2676
// WB ROM
2677 18 unneback
module vl_wb_boot_rom (
2678 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
2679 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
2680
    parameter adr_hi = 31;
2681
    parameter adr_lo = 28;
2682
    parameter adr_sel = 4'hf;
2683
    parameter addr_width = 5;
2684 33 unneback
/*
2685
`ifndef BOOT_ROM
2686
`define BOOT_ROM "boot_rom.v"
2687
`endif
2688
*/
2689 18 unneback
    input [adr_hi:2]    wb_adr_i;
2690
    input               wb_stb_i;
2691
    input               wb_cyc_i;
2692
    output [31:0]        wb_dat_o;
2693
    output              wb_ack_o;
2694
    output              hit_o;
2695
    input               wb_clk;
2696
    input               wb_rst;
2697
    wire hit;
2698
    reg [31:0] wb_dat;
2699
    reg wb_ack;
2700
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
2701 17 unneback
always @ (posedge wb_clk or posedge wb_rst)
2702
    if (wb_rst)
2703 18 unneback
        wb_dat <= 32'h15000000;
2704 17 unneback
    else
2705 18 unneback
         case (wb_adr_i[addr_width-1:2])
2706 33 unneback
`ifdef BOOT_ROM
2707
`include `BOOT_ROM
2708
`endif
2709 17 unneback
           /*
2710
            // Zero r0 and jump to 0x00000100
2711 18 unneback
 
2712
            1 : wb_dat <= 32'hA8200000;
2713
            2 : wb_dat <= 32'hA8C00100;
2714
            3 : wb_dat <= 32'h44003000;
2715
            4 : wb_dat <= 32'h15000000;
2716 17 unneback
            */
2717
           default:
2718 18 unneback
             wb_dat <= 32'h00000000;
2719 17 unneback
         endcase // case (wb_adr_i)
2720
always @ (posedge wb_clk or posedge wb_rst)
2721
    if (wb_rst)
2722 18 unneback
        wb_ack <= 1'b0;
2723 17 unneback
    else
2724 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
2725
assign hit_o = hit;
2726
assign wb_dat_o = wb_dat & {32{wb_ack}};
2727
assign wb_ack_o = wb_ack;
2728 17 unneback
endmodule
2729 18 unneback
//////////////////////////////////////////////////////////////////////
2730
////                                                              ////
2731
////  Arithmetic functions                                        ////
2732
////                                                              ////
2733
////  Description                                                 ////
2734
////  Arithmetic functions for ALU and DSP                        ////
2735
////                                                              ////
2736
////                                                              ////
2737
////  To Do:                                                      ////
2738
////   -                                                          ////
2739
////                                                              ////
2740
////  Author(s):                                                  ////
2741
////      - Michael Unneback, unneback@opencores.org              ////
2742
////        ORSoC AB                                              ////
2743
////                                                              ////
2744
//////////////////////////////////////////////////////////////////////
2745
////                                                              ////
2746
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2747
////                                                              ////
2748
//// This source file may be used and distributed without         ////
2749
//// restriction provided that this copyright statement is not    ////
2750
//// removed from the file and that any derivative work contains  ////
2751
//// the original copyright notice and the associated disclaimer. ////
2752
////                                                              ////
2753
//// This source file is free software; you can redistribute it   ////
2754
//// and/or modify it under the terms of the GNU Lesser General   ////
2755
//// Public License as published by the Free Software Foundation; ////
2756
//// either version 2.1 of the License, or (at your option) any   ////
2757
//// later version.                                               ////
2758
////                                                              ////
2759
//// This source is distributed in the hope that it will be       ////
2760
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2761
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2762
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2763
//// details.                                                     ////
2764
////                                                              ////
2765
//// You should have received a copy of the GNU Lesser General    ////
2766
//// Public License along with this source; if not, download it   ////
2767
//// from http://www.opencores.org/lgpl.shtml                     ////
2768
////                                                              ////
2769
//////////////////////////////////////////////////////////////////////
2770
// signed multiplication
2771
module vl_mults (a,b,p);
2772
parameter operand_a_width = 18;
2773
parameter operand_b_width = 18;
2774
parameter result_hi = 35;
2775
parameter result_lo = 0;
2776
input [operand_a_width-1:0] a;
2777
input [operand_b_width-1:0] b;
2778
output [result_hi:result_lo] p;
2779
wire signed [operand_a_width-1:0] ai;
2780
wire signed [operand_b_width-1:0] bi;
2781
wire signed [operand_a_width+operand_b_width-1:0] result;
2782
    assign ai = a;
2783
    assign bi = b;
2784
    assign result = ai * bi;
2785
    assign p = result[result_hi:result_lo];
2786
endmodule
2787
module vl_mults18x18 (a,b,p);
2788
input [17:0] a,b;
2789
output [35:0] p;
2790
vl_mult
2791
    # (.operand_a_width(18), .operand_b_width(18))
2792
    mult0 (.a(a), .b(b), .p(p));
2793
endmodule
2794
// unsigned multiplication
2795
module vl_mult (a,b,p);
2796
parameter operand_a_width = 18;
2797
parameter operand_b_width = 18;
2798
parameter result_hi = 35;
2799
parameter result_lo = 0;
2800
input [operand_a_width-1:0] a;
2801
input [operand_b_width-1:0] b;
2802
output [result_hi:result_hi] p;
2803
wire [operand_a_width+operand_b_width-1:0] result;
2804
    assign result = a * b;
2805
    assign p = result[result_hi:result_lo];
2806
endmodule
2807
// shift unit
2808
// supporting the following shift functions
2809
//   SLL
2810
//   SRL
2811
//   SRA
2812
module vl_shift_unit_32( din, s, dout, opcode);
2813
input [31:0] din; // data in operand
2814
input [4:0] s; // shift operand
2815
input [1:0] opcode;
2816
output [31:0] dout;
2817
parameter opcode_sll = 2'b00;
2818
//parameter opcode_srl = 2'b01;
2819
parameter opcode_sra = 2'b10;
2820
//parameter opcode_ror = 2'b11;
2821
wire sll, sra;
2822
assign sll = opcode == opcode_sll;
2823
assign sra = opcode == opcode_sra;
2824
wire [15:1] s1;
2825
wire [3:0] sign;
2826
wire [7:0] tmp [0:3];
2827
// first stage is multiplier based
2828
// shift operand as fractional 8.7
2829
assign s1[15] = sll & s[2:0]==3'd7;
2830
assign s1[14] = sll & s[2:0]==3'd6;
2831
assign s1[13] = sll & s[2:0]==3'd5;
2832
assign s1[12] = sll & s[2:0]==3'd4;
2833
assign s1[11] = sll & s[2:0]==3'd3;
2834
assign s1[10] = sll & s[2:0]==3'd2;
2835
assign s1[ 9] = sll & s[2:0]==3'd1;
2836
assign s1[ 8] = s[2:0]==3'd0;
2837
assign s1[ 7] = !sll & s[2:0]==3'd1;
2838
assign s1[ 6] = !sll & s[2:0]==3'd2;
2839
assign s1[ 5] = !sll & s[2:0]==3'd3;
2840
assign s1[ 4] = !sll & s[2:0]==3'd4;
2841
assign s1[ 3] = !sll & s[2:0]==3'd5;
2842
assign s1[ 2] = !sll & s[2:0]==3'd6;
2843
assign s1[ 1] = !sll & s[2:0]==3'd7;
2844
assign sign[3] = din[31] & sra;
2845
assign sign[2] = sign[3] & (&din[31:24]);
2846
assign sign[1] = sign[2] & (&din[23:16]);
2847
assign sign[0] = sign[1] & (&din[15:8]);
2848
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte3 ( .a({sign[3], {8{sign[3]}},din[31:24], din[23:16]}), .b({1'b0,s1}), .p(tmp[3]));
2849
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte2 ( .a({sign[2], din[31:24]  ,din[23:16],  din[15:8]}), .b({1'b0,s1}), .p(tmp[2]));
2850
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte1 ( .a({sign[1], din[23:16]  ,din[15:8],   din[7:0]}), .b({1'b0,s1}), .p(tmp[1]));
2851
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte0 ( .a({sign[0], din[15:8]   ,din[7:0],    8'h00}),      .b({1'b0,s1}), .p(tmp[0]));
2852
// second stage is multiplexer based
2853
// shift on byte level
2854
// mux byte 3
2855
assign dout[31:24] = (s[4:3]==2'b00) ? tmp[3] :
2856
                     (sll & s[4:3]==2'b01) ? tmp[2] :
2857
                     (sll & s[4:3]==2'b10) ? tmp[1] :
2858
                     (sll & s[4:3]==2'b11) ? tmp[0] :
2859
                     {8{sign[3]}};
2860
// mux byte 2
2861
assign dout[23:16] = (s[4:3]==2'b00) ? tmp[2] :
2862
                     (sll & s[4:3]==2'b01) ? tmp[1] :
2863
                     (sll & s[4:3]==2'b10) ? tmp[0] :
2864
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2865
                     (s[4:3]==2'b01) ? tmp[3] :
2866
                     {8{sign[3]}};
2867
// mux byte 1
2868
assign dout[15:8]  = (s[4:3]==2'b00) ? tmp[1] :
2869
                     (sll & s[4:3]==2'b01) ? tmp[0] :
2870
                     (sll & s[4:3]==2'b10) ? {8{1'b0}} :
2871
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2872
                     (s[4:3]==2'b01) ? tmp[2] :
2873
                     (s[4:3]==2'b10) ? tmp[3] :
2874
                     {8{sign[3]}};
2875
// mux byte 0
2876
assign dout[7:0]   = (s[4:3]==2'b00) ? tmp[0] :
2877
                     (sll) ?  {8{1'b0}}:
2878
                     (s[4:3]==2'b01) ? tmp[1] :
2879
                     (s[4:3]==2'b10) ? tmp[2] :
2880
                     tmp[3];
2881
endmodule
2882
// logic unit
2883
// supporting the following logic functions
2884
//    a and b
2885
//    a or  b
2886
//    a xor b
2887
//    not b
2888
module vl_logic_unit( a, b, result, opcode);
2889
parameter width = 32;
2890
parameter opcode_and = 2'b00;
2891
parameter opcode_or  = 2'b01;
2892
parameter opcode_xor = 2'b10;
2893
input [width-1:0] a,b;
2894
output [width-1:0] result;
2895
input [1:0] opcode;
2896
assign result = (opcode==opcode_and) ? a & b :
2897
                (opcode==opcode_or)  ? a | b :
2898
                (opcode==opcode_xor) ? a ^ b :
2899
                b;
2900
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.