OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [wb.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Versatile library, wishbone stuff                           ////
4
////                                                              ////
5
////  Description                                                 ////
6
////  Wishbone compliant modules                                  ////
7
////                                                              ////
8
////                                                              ////
9
////  To Do:                                                      ////
10
////   -                                                          ////
11
////                                                              ////
12
////  Author(s):                                                  ////
13
////      - Michael Unneback, unneback@opencores.org              ////
14
////        ORSoC AB                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
 
43
// async wb3 - wb3 bridge
44
`timescale 1ns/1ns
45
module wb3wb3_bridge (
46
        // wishbone slave side
47
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
48
        // wishbone master side
49
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
50
 
51
input [31:0] wbs_dat_i;
52
input [31:2] wbs_adr_i;
53
input [3:0]  wbs_sel_i;
54
input [1:0]  wbs_bte_i;
55
input [2:0]  wbs_cti_i;
56
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
57
output [31:0] wbs_dat_o;
58
output reg wbs_ack_o;
59
input wbs_clk, wbs_rst;
60
 
61
output [31:0] wbm_dat_o;
62
output reg [31:2] wbm_adr_o;
63
output [3:0]  wbm_sel_o;
64
output reg [1:0]  wbm_bte_o;
65
output reg [2:0]  wbm_cti_o;
66
output reg wbm_we_o, wbm_cyc_o;
67
output wbm_stb_o;
68
input [31:0]  wbm_dat_i;
69
input wbm_ack_i;
70
input wbm_clk, wbm_rst;
71
 
72
parameter addr_width = 4;
73
 
74
// bte
75
parameter linear       = 2'b00;
76
parameter wrap4        = 2'b01;
77
parameter wrap8        = 2'b10;
78
parameter wrap16       = 2'b11;
79
// cti
80
parameter classic      = 3'b000;
81
parameter incburst     = 3'b010;
82
parameter endofburst   = 3'b111;
83
 
84
parameter wbs_adr  = 1'b0;
85
parameter wbs_data = 1'b1;
86
 
87
parameter wbm_adr0 = 2'b00;
88
parameter wbm_adr1 = 2'b01;
89
parameter wbm_data = 2'b10;
90
 
91
reg wbs_we_reg;
92
reg [1:0] wbs_bte_reg;
93
reg wbs;
94
wire wbs_eoc_alert, wbm_eoc_alert;
95
reg wbs_eoc, wbm_eoc;
96
reg [1:0] wbm;
97
 
98
reg [1:16] wbs_count, wbm_count;
99
 
100
reg wbs_ack_o_rd;
101
wire wbs_ack_o_wr;
102
 
103
wire [35:0] a_d, a_q, b_d, b_q;
104
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
105
reg a_rd_reg;
106
wire b_rd_adr, b_rd_data;
107
reg b_rd_data_reg;
108
reg [35:0] temp;
109
 
110
`define WE 5
111
`define BTE 4:3
112
`define CTI 2:0
113
 
114
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
115
always @ (posedge wbs_clk or posedge wbs_rst)
116
if (wbs_rst)
117
        wbs_eoc <= 1'b0;
118
else
119
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
120
                wbs_eoc <= wbs_bte_i==linear;
121
        else if (wbs_eoc_alert & (a_rd | a_wr))
122
                wbs_eoc <= 1'b1;
123
 
124
cnt_shreg_ce_clear # ( .length(16))
125
    cnt0 (
126
        .cke(wbs_ack_o),
127
        .clear(wbs_eoc),
128
        .q(wbs_count),
129
        .rst(wbs_rst),
130
        .clk(wbs_clk));
131
 
132
always @ (posedge wbs_clk or posedge wbs_rst)
133
if (wbs_rst)
134
        wbs <= wbs_adr;
135
else
136
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & !a_fifo_full)
137
                wbs <= wbs_data;
138
        else if (wbs_eoc & wbs_ack_o)
139
                wbs <= wbs_adr;
140
 
141
// wbs FIFO
142
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,wbs_bte_i,wbs_cti_i} : {wbs_dat_i,wbs_sel_i};
143
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & !a_fifo_full :
144
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
145
              1'b0;
146
assign a_rd = !a_fifo_empty;
147
always @ (posedge wbs_clk or posedge wbs_rst)
148
if (wbs_rst)
149
        a_rd_reg <= 1'b0;
150
else
151
        a_rd_reg <= a_rd;
152
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
153
 
154
assign wbs_dat_o = a_q[35:4];
155
 
156
always @ (posedge wbs_clk or posedge wbs_rst)
157
if (wbs_rst)
158
        {wbs_we_reg,wbs_bte_reg} <= {1'b0,2'b00};
159
else
160
        {wbs_we_reg,wbs_bte_reg} <= {wbs_we_i,wbs_bte_i};
161
 
162
// wbm FIFO
163
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
164
always @ (posedge wbm_clk or posedge wbm_rst)
165
if (wbm_rst)
166
        wbm_eoc <= 1'b0;
167
else
168
        if (wbm==wbm_adr0 & !b_fifo_empty)
169
                wbm_eoc <= b_q[`BTE] == linear;
170
        else if (wbm_eoc_alert & wbm_ack_i)
171
                wbm_eoc <= 1'b1;
172
 
173
always @ (posedge wbm_clk or posedge wbm_rst)
174
if (wbm_rst)
175
        wbm <= wbm_adr0;
176
else
177
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
178
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
179
        (wbm==wbm_adr1 & !wbm_we_o) |
180
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
181
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
182
 
183
assign b_d = {wbm_dat_i,4'b1111};
184
assign b_wr = !wbm_we_o & wbm_ack_i;
185
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
186
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
187
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
188
                   1'b0;
189
assign b_rd = b_rd_adr | b_rd_data;
190
 
191
dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
192
dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
193
 
194
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
195
 
196
cnt_shreg_ce_clear # ( .length(16))
197
    cnt1 (
198
        .cke(wbm_ack_i),
199
        .clear(wbm_eoc),
200
        .q(wbm_count),
201
        .rst(wbm_rst),
202
        .clk(wbm_clk));
203
 
204
assign wbm_cyc_o = wbm==wbm_data;
205
assign wbm_stb_o = (wbm==wbm_data & wbm_we_o) ? !b_fifo_empty :
206
                   (wbm==wbm_data) ? 1'b1 :
207
                   1'b0;
208
 
209
always @ (posedge wbm_clk or posedge wbm_rst)
210
if (wbm_rst)
211
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
212
else begin
213
        if (wbm==wbm_adr0 & !b_fifo_empty)
214
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
215
        else if (wbm_eoc_alert & wbm_ack_i)
216
                wbm_cti_o <= endofburst;
217
end
218
 
219
//async_fifo_dw_simplex_top
220
vl_fifo_2r2w_async_simplex
221
# ( .data_width(36), .addr_width(addr_width))
222
fifo (
223
    // a side
224
    .a_d(a_d),
225
    .a_wr(a_wr),
226
    .a_fifo_full(a_fifo_full),
227
    .a_q(a_q),
228
    .a_rd(a_rd),
229
    .a_fifo_empty(a_fifo_empty),
230
    .a_clk(wbs_clk),
231
    .a_rst(wbs_rst),
232
    // b side
233
    .b_d(b_d),
234
    .b_wr(b_wr),
235
    .b_fifo_full(b_fifo_full),
236
    .b_q(b_q),
237
    .b_rd(b_rd),
238
    .b_fifo_empty(b_fifo_empty),
239
    .b_clk(wbm_clk),
240
    .b_rst(wbm_rst)
241
    );
242
 
243
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.