OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [top.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinx
---------------------------------------------------------------------- 
2
----                                                              ---- 
3
----  VHDL Wishbone TESTBENCH                                     ---- 
4
----                                                              ---- 
5
----  This file is part of the vhdl_wb_tb project                 ---- 
6
----  http://www.opencores.org/cores/vhdl_wb_tb/                  ---- 
7
----                                                              ---- 
8
----  This file contains the highest (top) module of the test     ----
9
----  bench.                                                      ---- 
10
----  It instantiates the design under test (DUT), instantiates   ----
11
----  the stimulator module for test vector generation,           ----
12
----  instantiates the verifier module for result comparison,     ----
13
----  instantiates the test case top (testcase_top) bfm,          ----
14
----  interconnects all three components, generates DUT-external  ----
15
----  clocks and resets.                                          ----
16
----                                                              ---- 
17
----  To Do:                                                      ---- 
18
----   -                                                          ---- 
19
----                                                              ---- 
20
----  Author(s):                                                  ---- 
21
----      - Sinx, email@opencores.org                             ---- 
22
----                                                              ---- 
23
----------------------------------------------------------------------
24
--    SVN information
25
--
26
--      $URL:  $
27
-- $Revision:  $
28
--     $Date:  $
29
--   $Author:  $
30
--       $Id:  $
31
--
32
---------------------------------------------------------------------- 
33
----                                                              ---- 
34
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
35
----                                                              ---- 
36
---- This source file may be used and distributed without         ---- 
37
---- restriction provided that this copyright statement is not    ---- 
38
---- removed from the file and that any derivative work contains  ---- 
39
---- the original copyright notice and the associated disclaimer. ---- 
40
----                                                              ---- 
41
---- This source file is free software; you can redistribute it   ---- 
42
---- and/or modify it under the terms of the GNU Lesser General   ---- 
43
---- Public License as published by the Free Software Foundation; ---- 
44
---- either version 2.1 of the License, or (at your option) any   ---- 
45
---- later version.                                               ---- 
46
----                                                              ---- 
47
---- This source is distributed in the hope that it will be       ---- 
48
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
49
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
50
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
51
---- details.                                                     ---- 
52
----                                                              ---- 
53
---- You should have received a copy of the GNU Lesser General    ---- 
54
---- Public License along with this source; if not, download it   ---- 
55
---- from http://www.opencores.org/lgpl.shtml                     ---- 
56
----                                                              ---- 
57
----------------------------------------------------------------------
58
 
59
-- library -----------------------------------------------------------
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.numeric_std.all;
63
library work;
64
use work.convert_pkg.all;
65
use work.wishbone_pkg.all;
66
 
67
-- entity ------------------------------------------------------------
68
entity top is
69
  port(
70
    clock_i                         : in std_logic;
71
    signals_i                       : in std_logic_vector(7 downto 0);
72
    signals_o                       : out std_logic_vector(7 downto 0)
73
    );
74
end entity top;
75
 
76
--=architecture===============================================================
77
architecture rtl of top is
78
  --============================================================================
79
  -- signal declaration
80
  --============================================================================
81
  -- constant number_of_stimulus_signals_c : integer := 8;
82
  -- signal s_verify                     : std_logic_vector(number_of_verify_signals_c-1 downto 0);
83
  -----------------------------------------------------------------------------
84
begin
85
  --============================================================================
86
  -- instance of design
87
  core_top_inst : entity work.core_top
88
    generic map(
89
      g_number_of_in_signals              => 8,
90
      g_number_of_out_signals             => 8
91
      )
92
    port map(
93
      clock_i                             => clock_i,
94
      reset_i                             => '0',
95
      signals_i                           => signals_i,
96
      signals_o                           => signals_o
97
      );
98
  -----------------------------------------------------------------------------
99
end rtl;
100
--============================================================================
101
-- end of file
102
--============================================================================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.