OpenCores
URL https://opencores.org/ocsvn/video_stream_scaler/video_stream_scaler/trunk

Subversion Repositories video_stream_scaler

[/] [video_stream_scaler/] [trunk/] [sim/] [rtl_sim/] [scaler.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tesla500
; Copyright 1991-2010 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
; to use Vital 1995 version of the standard
14
; IEEE library must be mapped to the vital1995 library
15
; one cannot use the vital1995 library directly because it assume that it
16
; is the IEEE library.  If vital1995 and vital2000 are being mixed together then
17
; ieee must be mapped to vital1995 and vital200 mapped to vital2000
18
; ieee = $MODEL_TECH/../vital1995
19
; for compatiblity with previously the VITAL2000 maps to a seperate library from IEEE
20
; if one should not reference vital from both the ieee library and the vital library becasue
21
; the vital packages are effectively different.  If one needs to reference both libraies the
22
; vital2000 and ieee MUST be mapped to the same library either $MODEL_TECH/../ieee
23
; or $MODEL_TECH/../vital2000
24
vital2000 = $MODEL_TECH/../vital2000
25
std_developerskit = $MODEL_TECH/../std_developerskit
26
synopsys = $MODEL_TECH/../synopsys
27
modelsim_lib = $MODEL_TECH/../modelsim_lib
28
sv_std = $MODEL_TECH/../sv_std
29
mtiAvm = $MODEL_TECH/../avm
30
mtiOvm = $MODEL_TECH/../ovm-2.1.1
31
mtiUPF = $MODEL_TECH/../upf_lib
32
mtiPA  = $MODEL_TECH/../pa_lib
33
floatfixlib = $MODEL_TECH/../floatfixlib
34
mc2_lib = $MODEL_TECH/../mc2_lib
35
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
36
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
37
;mvc_lib = $MODEL_TECH/../mvc_lib
38
 
39
work = work
40
[vcom]
41
; VHDL93 variable selects language version as the default.
42
; Default is VHDL-2002.
43
; Value of 0 or 1987 for VHDL-1987.
44
; Value of 1 or 1993 for VHDL-1993.
45
; Default or value of 2 or 2002 for VHDL-2002.
46
; Value of 3 or 2008 for VHDL-2008
47
VHDL93 = 2002
48
 
49
; Show source line containing error. Default is off.
50
; Show_source = 1
51
 
52
; Turn off unbound-component warnings. Default is on.
53
; Show_Warning1 = 0
54
 
55
; Turn off process-without-a-wait-statement warnings. Default is on.
56
; Show_Warning2 = 0
57
 
58
; Turn off null-range warnings. Default is on.
59
; Show_Warning3 = 0
60
 
61
; Turn off no-space-in-time-literal warnings. Default is on.
62
; Show_Warning4 = 0
63
 
64
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
65
; Show_Warning5 = 0
66
 
67
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
68
; Optimize_1164 = 0
69
 
70
; Turn on resolving of ambiguous function overloading in favor of the
71
; "explicit" function declaration (not the one automatically created by
72
; the compiler for each type declaration). Default is off.
73
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
74
; will match the behavior of synthesis tools.
75
Explicit = 1
76
 
77
; Turn off acceleration of the VITAL packages. Default is to accelerate.
78
; NoVital = 1
79
 
80
; Turn off VITAL compliance checking. Default is checking on.
81
; NoVitalCheck = 1
82
 
83
; Ignore VITAL compliance checking errors. Default is to not ignore.
84
; IgnoreVitalErrors = 1
85
 
86
; Turn off VITAL compliance checking warnings. Default is to show warnings.
87
; Show_VitalChecksWarnings = 0
88
 
89
; Turn off PSL assertion warning messages. Default is to show warnings.
90
; Show_PslChecksWarnings = 0
91
 
92
; Enable parsing of embedded PSL assertions. Default is enabled.
93
; EmbeddedPsl = 0
94
 
95
; Keep silent about case statement static warnings.
96
; Default is to give a warning.
97
; NoCaseStaticError = 1
98
 
99
; Keep silent about warnings caused by aggregates that are not locally static.
100
; Default is to give a warning.
101
; NoOthersStaticError = 1
102
 
103
; Treat as errors:
104
;   case statement static warnings
105
;   warnings caused by aggregates that are not locally static
106
; Overrides NoCaseStaticError, NoOthersStaticError settings.
107
; PedanticErrors = 1
108
 
109
; Turn off inclusion of debugging info within design units.
110
; Default is to include debugging info.
111
; NoDebug = 1
112
 
113
; Turn off "Loading..." messages. Default is messages on.
114
; Quiet = 1
115
 
116
; Turn on some limited synthesis rule compliance checking. Checks only:
117
;    -- signals used (read) by a process must be in the sensitivity list
118
; CheckSynthesis = 1
119
 
120
; Activate optimizations on expressions that do not involve signals,
121
; waits, or function/procedure/task invocations. Default is off.
122
; ScalarOpts = 1
123
 
124
; Turns on lint-style checking.
125
; Show_Lint = 1
126
 
127
; Require the user to specify a configuration for all bindings,
128
; and do not generate a compile time default binding for the
129
; component. This will result in an elaboration error of
130
; 'component not bound' if the user fails to do so. Avoids the rare
131
; issue of a false dependency upon the unused default binding.
132
; RequireConfigForAllDefaultBinding = 1
133
 
134
; Perform default binding at compile time.
135
; Default is to do default binding at load time.
136
; BindAtCompile = 1;
137
 
138
; Inhibit range checking on subscripts of arrays. Range checking on
139
; scalars defined with subtypes is inhibited by default.
140
; NoIndexCheck = 1
141
 
142
; Inhibit range checks on all (implicit and explicit) assignments to
143
; scalar objects defined with subtypes.
144
; NoRangeCheck = 1
145
 
146
; Run the 0-in compiler on the VHDL source files
147
; Default is off.
148
; ZeroIn = 1
149
 
150
; Set the options to be passed to the 0-in compiler.
151
; Default is "".
152
; ZeroInOptions = ""
153
 
154
; Set the synthesis prefix to be honored for synthesis pragma recognition.
155
; Default is "".
156
; SynthPrefix = ""
157
 
158
; Turn on code coverage in VHDL design units. Default is off.
159
; Coverage = sbceft
160
 
161
; Turn off code coverage in VHDL subprograms. Default is on.
162
; CoverageSub = 0
163
 
164
; Automatically exclude VHDL case statement OTHERS choice branches.
165
; This includes OTHERS choices in selected signal assigment statements.
166
; Default is to not exclude.
167
; CoverExcludeDefault = 1
168
 
169
; Control compiler and VOPT optimizations that are allowed when
170
; code coverage is on.  Refer to the comment for this in the [vlog] area.
171
; CoverOpt = 3
172
 
173
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
174
; values on signals in conditions and expressions, and to not automatically
175
; convert them to '1' and '0'. Default is to not convert.
176
; CoverRespectHandL = 0
177
 
178
; Increase or decrease the maximum number of rows allowed in a UDP table
179
; implementing a VHDL condition coverage or expression coverage expression.
180
; More rows leads to a longer compile time, but more expressions covered.
181
; CoverMaxUDPRows = 192
182
 
183
; Increase or decrease the maximum number of input patterns that are present
184
; in FEC table. This leads to a longer compile time with more expressions
185
; covered with FEC metric.
186
; CoverMaxFECRows = 192
187
 
188
; Enable or disable Focused Expression Coverage analysis for conditions and
189
; expressions. Focused Expression Coverage data is provided by default when
190
; expression and/or condition coverage is active.
191
; CoverFEC = 0
192
 
193
; Enable or disable UDP Coverage analysis for conditions and expressions.
194
; UDP Coverage data is provided by default when expression and/or condition
195
; coverage is active.
196
; CoverUDP = 0
197
 
198
; Enable or disable short circuit evaluation of conditions and expressions when
199
; condition or expression coverage is active. Short circuit evaluation is enabled
200
; by default.
201
; CoverShortCircuit = 0
202
 
203
; Enable code coverage reporting of code that has been optimized away.
204
; The default is not to report.
205
; CoverReportCancelled = 1
206
 
207
; Use this directory for compiler temporary files instead of "work/_temp"
208
; CompilerTempDir = /tmp
209
 
210
; Set this to cause the compilers to force data to be committed to disk
211
; when the files are closed.
212
; SyncCompilerFiles = 1
213
 
214
; Add VHDL-AMS declarations to package STANDARD
215
; Default is not to add
216
; AmsStandard = 1
217
 
218
; Range and length checking will be performed on array indices and discrete
219
; ranges, and when violations are found within subprograms, errors will be
220
; reported. Default is to issue warnings for violations, because subprograms
221
; may not be invoked.
222
; NoDeferSubpgmCheck = 0
223
 
224
; Turn ON detection of FSMs having single bit current state variable.
225
; FsmSingle = 1
226
 
227
; Turn off reset state transitions in FSM.
228
; FsmResetTrans = 0
229
 
230
; Turn ON detection of FSM Implicit Transitions.
231
; FsmImplicitTrans = 1
232
 
233
; Do not show immediate assertions with constant expressions in
234
; GUI/report/UCDB etc. By default immediate assertions with constant
235
; expressions are shown in GUI/report/UCDB etc. This does not affect ;
236
; evaluation of immediate assertions.
237
; ShowConstantImmediateAsserts = 0
238
 
239
[vlog]
240
; Turn off inclusion of debugging info within design units.
241
; Default is to include debugging info.
242
; NoDebug = 1
243
 
244
; Turn on `protect compiler directive processing.
245
; Default is to ignore `protect directives.
246
; Protect = 1
247
 
248
; Turn off "Loading..." messages. Default is messages on.
249
; Quiet = 1
250
 
251
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
252
; Default is off.
253
; Hazard = 1
254
 
255
; Turn on converting regular Verilog identifiers to uppercase. Allows case
256
; insensitivity for module names. Default is no conversion.
257
; UpCase = 1
258
 
259
; Activate optimizations on expressions that do not involve signals,
260
; waits, or function/procedure/task invocations. Default is off.
261
; ScalarOpts = 1
262
 
263
; Turns on lint-style checking.
264
; Show_Lint = 1
265
 
266
; Show source line containing error. Default is off.
267
; Show_source = 1
268
 
269
; Turn on bad option warning. Default is off.
270
; Show_BadOptionWarning = 1
271
 
272
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
273
; vlog95compat = 1
274
 
275
; Turn off PSL warning messages. Default is to show warnings.
276
; Show_PslChecksWarnings = 0
277
 
278
; Enable parsing of embedded PSL assertions. Default is enabled.
279
; EmbeddedPsl = 0
280
 
281
; Set the threshold for automatically identifying sparse Verilog memories.
282
; A memory with depth equal to or more than the sparse memory threshold gets
283
; marked as sparse automatically, unless specified otherwise in source code
284
; or by +nosparse commandline option of vlog or vopt.
285
; The default is 1M.  (i.e. memories with depth equal
286
; to or greater than 1M are marked as sparse)
287
; SparseMemThreshold = 1048576
288
 
289
; Run the 0-in compiler on the Verilog source files
290
; Default is off.
291
; ZeroIn = 1
292
 
293
; Set the options to be passed to the 0-in compiler.
294
; Default is "".
295
; ZeroInOptions = ""
296
 
297
; Set the synthesis prefix to be honored for synthesis pragma recognition.
298
; Default is "".
299
; SynthPrefix = ""
300
 
301
; Set the option to treat all files specified in a vlog invocation as a
302
; single compilation unit. The default value is set to 0 which will treat
303
; each file as a separate compilation unit as specified in the P1800 draft standard.
304
; MultiFileCompilationUnit = 1
305
 
306
; Turn on code coverage in Verilog design units. Default is off.
307
; Coverage = sbceft
308
 
309
; Automatically exclude Verilog case statement default branches.
310
; Default is to not automatically exclude defaults.
311
; CoverExcludeDefault = 1
312
 
313
; Increase or decrease the maximum number of rows allowed in a UDP table
314
; implementing a Verilog condition coverage or expression coverage expression.
315
; More rows leads to a longer compile time, but more expressions covered.
316
; CoverMaxUDPRows = 192
317
 
318
; Increase or decrease the maximum number of input patterns that are present
319
; in FEC table. This leads to a longer compile time with more expressions
320
; covered with FEC metric.
321
; CoverMaxFECRows = 192
322
 
323
; Enable or disable Focused Expression Coverage analysis for conditions and
324
; expressions. Focused Expression Coverage data is provided by default when
325
; expression and/or condition coverage is active.
326
; CoverFEC = 0
327
 
328
; Enable or disable UDP Coverage analysis for conditions and expressions.
329
; UDP Coverage data is provided by default when expression and/or condition
330
; coverage is active.
331
; CoverUDP = 0
332
 
333
; Enable or disable short circuit evaluation of conditions and expressions when
334
; condition or expression coverage is active. Short circuit evaluation is enabled
335
; by default.
336
; CoverShortCircuit = 0
337
 
338
 
339
; Turn on code coverage in VLOG `celldefine modules and modules included
340
; using vlog -v and -y. Default is off.
341
; CoverCells = 1
342
 
343
; Enable code coverage reporting of code that has been optimized away.
344
; The default is not to report.
345
; CoverReportCancelled = 1
346
 
347
; Control compiler and VOPT optimizations that are allowed when
348
; code coverage is on. This is a number from 1 to 4, with the following
349
; meanings (the default is 3):
350
;    1 -- Turn off all optimizations that affect coverage reports.
351
;    2 -- Allow optimizations that allow large performance improvements
352
;         by invoking sequential processes only when the data changes.
353
;         This may make major reductions in coverage counts.
354
;    3 -- In addition, allow optimizations that may change expressions or
355
;         remove some statements. Allow constant propagation. Allow VHDL
356
;         subprogram inlining and VHDL FF recognition.
357
;    4 -- In addition, allow optimizations that may remove major regions of
358
;         code by changing assignments to built-ins or removing unused
359
;         signals. Change Verilog gates to continuous assignments.
360
; CoverOpt = 3
361
 
362
; Specify the override for the default value of "cross_num_print_missing"
363
; option for the Cross in Covergroups. If not specified then LRM default
364
; value of 0 (zero) is used. This is a compile time option.
365
; SVCrossNumPrintMissingDefault = 0
366
 
367
; Setting following to 1 would cause creation of variables which
368
; would represent the value of Coverpoint expressions. This is used
369
; in conjunction with "SVCoverpointExprVariablePrefix" option
370
; in the modelsim.ini
371
; EnableSVCoverpointExprVariable = 0
372
 
373
; Specify the override for the prefix used in forming the variable names
374
; which represent the Coverpoint expressions. This is used in conjunction with
375
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
376
; The default prefix is "expr".
377
; The variable name is
378
;    variable name => _
379
; SVCoverpointExprVariablePrefix = expr
380
 
381
; Override for the default value of the SystemVerilog covergroup,
382
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
383
; NOTE: It does not override specific assignments in SystemVerilog
384
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
385
; in the [vsim] section can override this value.
386
; SVCovergroupGoalDefault = 100
387
 
388
; Override for the default value of the SystemVerilog covergroup,
389
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
390
; NOTE: It does not override specific assignments in SystemVerilog
391
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
392
; in the [vsim] section can override this value.
393
; SVCovergroupTypeGoalDefault = 100
394
 
395
; Specify the override for the default value of "strobe" option for the
396
; Covergroup Type. This is a compile time option which forces "strobe" to
397
; a user specified default value and supersedes SystemVerilog specified
398
; default value of '0'(zero). NOTE: This can be overriden by a runtime
399
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
400
; SVCovergroupStrobeDefault = 0
401
 
402
; Specify the override for the default value of "merge_instances" option for
403
; the Covergroup Type. This is a compile time option which forces
404
; "merge_instances" to a user specified default value and supersedes
405
; SystemVerilog specified default value of '0'(zero).
406
; SVCovergroupMergeInstancesDefault = 0
407
 
408
; Specify the override for the default value of "per_instance" option for the
409
; Covergroup variables. This is a compile time option which forces "per_instance"
410
; to a user specified default value and supersedes SystemVerilog specified
411
; default value of '0'(zero).
412
; SVCovergroupPerInstanceDefault = 0
413
 
414
; Specify the override for the default value of "get_inst_coverage" option for the
415
; Covergroup variables. This is a compile time option which forces
416
; "get_inst_coverage" to a user specified default value and supersedes
417
; SystemVerilog specified default value of '0'(zero).
418
; SVCovergroupGetInstCoverageDefault = 0
419
 
420
;
421
; A space separated list of resource libraries that contain precompiled
422
; packages.  The behavior is identical to using the "-L" switch.
423
;
424
; LibrarySearchPath =  [ ...]
425
LibrarySearchPath = mtiAvm mtiOvm mtiUPF
426
 
427
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
428
; MixedAnsiPorts = 1
429
 
430
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
431
; EnableTypeOf = 1
432
 
433
; Only allow lower case pragmas. Default is disabled.
434
; AcceptLowerCasePragmaOnly = 1
435
 
436
; Set the maximum depth permitted for a recursive include file nesting.
437
; IncludeRecursionDepthMax = 5
438
 
439
; Turn ON detection of FSMs having single bit current state variable.
440
; FsmSingle = 1
441
 
442
; Turn off reset state transitions in FSM.
443
; FsmResetTrans = 0
444
 
445
; Turn off detections of FSMs having x-assignment.
446
; FsmXAssign = 0
447
 
448
; Turn ON detection of FSM Implicit Transitions.
449
; FsmImplicitTrans = 1
450
 
451
; List of file suffixes which will be read as SystemVerilog.  White space
452
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
453
; can be specified with two consecutive back-slashes: "\\";
454
; SVFileExtensions = sv svp svh
455
 
456
; This setting is the same as the vlog -sv command line switch.
457
; Enables SystemVerilog features and keywords when true (1).
458
; When false (0), the rules of IEEE Std 1364-2001 are followed and
459
; SystemVerilog keywords are ignored.
460
; Svlog = 0
461
 
462
; Prints attribute placed upon SV packages during package import
463
; when true (1).  The attribute will be ignored when this
464
; entry is false (0). The attribute name is "package_load_message".
465
; The value of this attribute is a string literal.
466
; Default is true (1).
467
; PrintSVPackageLoadingAttribute = 1
468
 
469
; Do not show immediate assertions with constant expressions in
470
; GUI/reports/UCDB etc. By default immediate assertions with constant
471
; expressions are shown in GUI/reports/UCDB etc. This does not affect
472
; evaluation of immediate assertions.
473
; ShowConstantImmediateAsserts = 0
474
 
475
; Controls if untyped parameters that are initialized with values greater
476
; than 2147483647 are mapped to generics of type INTEGER or ignored.
477
; If mapped to VHDL Integers, values greater than 2147483647
478
; are mapped to negative values.
479
; Default is to map these parameter to generic of type INTEGER
480
; ForceUnsignedToVHDLInteger = 1
481
 
482
; Enable AMS wreal (wired real) extensions.  Default is 0.
483
; WrealType = 1
484
 
485
[sccom]
486
; Enable use of SCV include files and library.  Default is off.
487
; UseScv = 1
488
 
489
; Add C++ compiler options to the sccom command line by using this variable.
490
; CppOptions = -g
491
 
492
; Use custom C++ compiler located at this path rather than the default path.
493
; The path should point directly at a compiler executable.
494
; CppPath = /usr/bin/g++
495
 
496
; Enable verbose messages from sccom.  Default is off.
497
; SccomVerbose = 1
498
 
499
; sccom logfile.  Default is no logfile.
500
; SccomLogfile = sccom.log
501
 
502
; Enable use of SC_MS include files and library.  Default is off.
503
; UseScMs = 1
504
 
505
[vopt]
506
; Turn on code coverage in vopt.  Default is off.
507
; Coverage = sbceft
508
 
509
; Control compiler optimizations that are allowed when
510
; code coverage is on.  Refer to the comment for this in the [vlog] area.
511
; CoverOpt = 3
512
 
513
; Increase or decrease the maximum number of rows allowed in a UDP table
514
; implementing a vopt condition coverage or expression coverage expression.
515
; More rows leads to a longer compile time, but more expressions covered.
516
; CoverMaxUDPRows = 192
517
 
518
; Increase or decrease the maximum number of input patterns that are present
519
; in FEC table. This leads to a longer compile time with more expressions
520
; covered with FEC metric.
521
; CoverMaxFECRows = 192
522
 
523
; Enable code coverage reporting of code that has been optimized away.
524
; The default is not to report.
525
; CoverReportCancelled = 1
526
 
527
; Do not show immediate assertions with constant expressions in
528
; GUI/reports/UCDB etc. By default immediate assertions with constant
529
; expressions are shown in GUI/reports/UCDB etc. This does not affect
530
; evaluation of immediate assertions.
531
; ShowConstantImmediateAsserts = 0
532
 
533
; Set the maximum number of iterations permitted for a generate loop.
534
; Restricting this permits the implementation to recognize infinite
535
; generate loops.
536
; GenerateLoopIterationMax = 100000
537
 
538
; Set the maximum depth permitted for a recursive generate instantiation.
539
; Restricting this permits the implementation to recognize infinite
540
; recursions.
541
; GenerateRecursionDepthMax = 200
542
 
543
 
544
[vsim]
545
; vopt flow
546
; Set to turn on automatic optimization of a design.
547
; Default is on
548
VoptFlow = 1
549
 
550
; vopt automatic SDF
551
; If automatic design optimization is on, enables automatic compilation
552
; of SDF files.
553
; Default is on, uncomment to turn off.
554
; VoptAutoSDFCompile = 0
555
 
556
; Automatic SDF compilation
557
; Disables automatic compilation of SDF files in flows that support it.
558
; Default is on, uncomment to turn off.
559
; NoAutoSDFCompile = 1
560
 
561
; Simulator resolution
562
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
563
Resolution = ns
564
 
565
; Disable certain code coverage exclusions automatically.
566
; Assertions and FSM are exluded from the code coverage by default
567
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
568
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
569
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
570
; Or specify comma or space separated list
571
;AutoExclusionsDisable = fsm,assertions
572
 
573
; User time unit for run commands
574
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
575
; unit specified for Resolution. For example, if Resolution is 100ps,
576
; then UserTimeUnit defaults to ps.
577
; Should generally be set to default.
578
UserTimeUnit = default
579
 
580
; Default run length
581
RunLength = 200 ms
582
 
583
; Maximum iterations that can be run without advancing simulation time
584
IterationLimit = 5000
585
 
586
; Control PSL and Verilog Assume directives during simulation
587
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
588
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
589
; SimulateAssumeDirectives = 1
590
 
591
; Control the simulation of PSL and SVA
592
; These switches can be overridden by the vsim command line switches:
593
;    -psl, -nopsl, -sva, -nosva.
594
; Set SimulatePSL = 0 to disable PSL simulation
595
; Set SimulatePSL = 1 to enable PSL simulation (default)
596
; SimulatePSL = 1
597
; Set SimulateSVA = 0 to disable SVA simulation
598
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
599
; SimulateSVA = 1
600
 
601
; Directives to license manager can be set either as single value or as
602
; space separated multi-values:
603
; vhdl          Immediately reserve a VHDL license
604
; vlog          Immediately reserve a Verilog license
605
; plus          Immediately reserve a VHDL and Verilog license
606
; noqueue       Do not wait in the license queue when a license is not available
607
; viewsim       Try for viewer license but accept simulator license(s) instead
608
;               of queuing for viewer license (PE ONLY)
609
; noviewer      Disable checkout of msimviewer and vsim-viewer license
610
;               features (PE ONLY)
611
; noslvhdl      Disable checkout of qhsimvh and vsim license features
612
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
613
; nomix         Disable checkout of msimhdlmix and hdlmix license features
614
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
615
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
616
;               features
617
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
618
;               hdlmix license features
619
; Single value:
620
; License = plus
621
; Multi-value:
622
; License = noqueue plus
623
 
624
; Stop the simulator after a VHDL assertion message.
625
; Or stop the simulator after SystemVerilog severity system task.
626
; The severity of VHDL assertion or severity system task
627
; should be higher or equal.
628
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
629
BreakOnAssertion = 3
630
 
631
; VHDL assertion Message Format
632
; %S - Severity Level
633
; %R - Report Message
634
; %T - Time of assertion
635
; %D - Delta
636
; %I - Instance or Region pathname (if available)
637
; %i - Instance pathname with process
638
; %O - Process name
639
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
640
; %P - Instance or Region path without leaf process
641
; %F - File
642
; %L - Line number of assertion or, if assertion is in a subprogram, line
643
;      from which the call is made
644
; %% - Print '%' character
645
; If specific format for assertion level is defined, use its format.
646
; If specific format is not defined for assertion level:
647
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
648
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
649
;   level), use MessageFormatBreak;
650
; - otherwise, use MessageFormat.
651
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
652
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
653
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
654
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
655
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
656
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
657
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
658
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
659
 
660
; Error File - alternate file for storing error messages
661
; ErrorFile = error.log
662
 
663
 
664
; Simulation Breakpoint messages
665
; This flag controls the display of function names when reporting the location
666
; where the simulator stops do to a breakpoint or fatal error.
667
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
668
; Example wo/function name: # Break at counter.vhd line 44
669
ShowFunctions = 1
670
 
671
; Default radix for all windows and commands.
672
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
673
DefaultRadix = symbolic
674
 
675
; VSIM Startup command
676
; Startup = do startup.do
677
 
678
; VSIM Shutdown file
679
; Filename to save u/i formats and configurations.
680
; ShutdownFile = restart.do
681
; To explicitly disable auto save:
682
; ShutdownFile = --disable-auto-save
683
 
684
; File for saving command transcript
685
TranscriptFile = transcript
686
 
687
; File for saving command history
688
; CommandHistory = cmdhist.log
689
 
690
; Specify whether paths in simulator commands should be described
691
; in VHDL or Verilog format.
692
; For VHDL, PathSeparator = /
693
; For Verilog, PathSeparator = .
694
; Must not be the same character as DatasetSeparator.
695
PathSeparator = /
696
 
697
; Specify the dataset separator for fully rooted contexts.
698
; The default is ':'. For example: sim:/top
699
; Must not be the same character as PathSeparator.
700
DatasetSeparator = :
701
 
702
; Specify a unique path separator for the Signal Spy set of functions.
703
; The default will be to use the PathSeparator variable.
704
; Must not be the same character as DatasetSeparator.
705
; SignalSpyPathSeparator = /
706
 
707
; Used to control parsing of HDL identifiers input to the tool.
708
; This includes CLI commands, vsim/vopt/vlog/vcom options,
709
; string arguments to FLI/VPI/DPI calls, etc.
710
; If set to 1, accept either Verilog escaped Id syntax or
711
; VHDL extended id syntax, regardless of source language.
712
; If set to 0, the syntax of the source language must be used.
713
; Each identifier in a hierarchical name may need different syntax,
714
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
715
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
716
; GenerousIdentifierParsing = 1
717
 
718
; Disable VHDL assertion messages
719
; IgnoreNote = 1
720
; IgnoreWarning = 1
721
; IgnoreError = 1
722
; IgnoreFailure = 1
723
 
724
; Disable SystemVerilog assertion messages
725
; IgnoreSVAInfo = 1
726
; IgnoreSVAWarning = 1
727
; IgnoreSVAError = 1
728
; IgnoreSVAFatal = 1
729
 
730
; Do not print any additional information from Severity System tasks.
731
; Only the message provided by the user is printed along with severity
732
; information.
733
; SVAPrintOnlyUserMessage = 1;
734
 
735
; Default force kind. May be freeze, drive, deposit, or default
736
; or in other terms, fixed, wired, or charged.
737
; A value of "default" will use the signal kind to determine the
738
; force kind, drive for resolved signals, freeze for unresolved signals
739
; DefaultForceKind = freeze
740
 
741
; Control the iteration of events when a VHDL signal is forced to a value
742
; This flag can be set to honour the signal update event in next iteration,
743
; the default is to update and propagate in the same iteration.
744
; ForceSigNextIter = 1
745
 
746
 
747
; If zero, open files when elaborated; otherwise, open files on
748
; first read or write.  Default is 0.
749
; DelayFileOpen = 1
750
 
751
; Control VHDL files opened for write.
752
;   0 = Buffered, 1 = Unbuffered
753
UnbufferedOutput = 0
754
 
755
; Control the number of VHDL files open concurrently.
756
; This number should always be less than the current ulimit
757
; setting for max file descriptors.
758
;   0 = unlimited
759
ConcurrentFileLimit = 40
760
 
761
; Control the number of hierarchical regions displayed as
762
; part of a signal name shown in the Wave window.
763
; A value of zero tells VSIM to display the full name.
764
; The default is 0.
765
; WaveSignalNameWidth = 0
766
 
767
; Turn off warnings when changing VHDL constants and generics
768
; Default is 1 to generate warning messages
769
; WarnConstantChange = 0
770
 
771
; Turn off warnings from accelerated versions of the std_logic_arith,
772
; std_logic_unsigned, and std_logic_signed packages.
773
; StdArithNoWarnings = 1
774
 
775
; Turn off warnings from accelerated versions of the IEEE numeric_std
776
; and numeric_bit packages.
777
; NumericStdNoWarnings = 1
778
 
779
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
780
; in the design hierarchy.
781
; This style is controlled by the value of the GenerateFormat
782
; value described next.  Default is to use new-style names, which
783
; comprise the generate statement label, '(', the value of the generate
784
; parameter, and a closing ')'.
785
; Uncomment this to use old-style names.
786
; OldVhdlForGenNames = 1
787
 
788
; Control the format of the old-style VHDL FOR generate statement region
789
; name for each iteration.  Do not quote it.
790
; The format string here must contain the conversion codes %s and %d,
791
; in that order, and no other conversion codes.  The %s represents
792
; the generate statement label; the %d represents the generate parameter value
793
; at a particular iteration (this is the position number if the generate parameter
794
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
795
; leading and trailing whitespace is ignored.
796
; Application of the format must result in a unique region name over all
797
; loop iterations for a particular immediately enclosing scope so that name
798
; lookup can function properly.  The default is %s__%d.
799
; GenerateFormat = %s__%d
800
 
801
; Specify whether checkpoint files should be compressed.
802
; The default is 1 (compressed).
803
; CheckpointCompressMode = 0
804
 
805
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
806
; Use custom gcc compiler located at this path rather than the default path.
807
; The path should point directly at a compiler executable.
808
; DpiCppPath = /bin/gcc
809
 
810
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
811
; The term "out-of-the-blue" refers to SystemVerilog export function calls
812
; made from C functions that don't have the proper context setup
813
; (as is the case when running under "DPI-C" import functions).
814
; When this is enabled, one can call a DPI export function
815
; (but not task) from any C code.
816
; the setting of this variable can be one of the following values:
817
; 0 : dpioutoftheblue call is disabled (default)
818
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
819
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
820
; DpiOutOfTheBlue = 1
821
 
822
; Specify whether continuous assignments are run before other normal priority
823
; processes scheduled in the same iteration. This event ordering minimizes race
824
; differences between optimized and non-optimized designs, and is the default
825
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
826
; ImmediateContinuousAssign to 0.
827
; The default is 1 (enabled).
828
; ImmediateContinuousAssign = 0
829
 
830
; List of dynamically loaded objects for Verilog PLI applications
831
; Veriuser = veriuser.sl
832
 
833
; Which default VPI object model should the tool conform to?
834
; The 1364 modes are Verilog-only, for backwards compatibility with older
835
; libraries, and SystemVerilog objects are not available in these modes.
836
;
837
; In the absence of a user-specified default, the tool default is the
838
; latest available LRM behavior.
839
; Options for PliCompatDefault are:
840
;  VPI_COMPATIBILITY_VERSION_1364v1995
841
;  VPI_COMPATIBILITY_VERSION_1364v2001
842
;  VPI_COMPATIBILITY_VERSION_1364v2005
843
;  VPI_COMPATIBILITY_VERSION_1800v2005
844
;  VPI_COMPATIBILITY_VERSION_1800v2008
845
;
846
; Synonyms for each string are also recognized:
847
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
848
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
849
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
850
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
851
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
852
 
853
 
854
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
855
 
856
; Specify default options for the restart command. Options can be one
857
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
858
; DefaultRestartOptions = -force
859
 
860
; Turn on (1) or off (0) WLF file compression.
861
; The default is 1 (compress WLF file).
862
; WLFCompress = 0
863
 
864
; Specify whether to save all design hierarchy (1) in the WLF file
865
; or only regions containing logged signals (0).
866
; The default is 0 (save only regions with logged signals).
867
; WLFSaveAllRegions = 1
868
 
869
; WLF file time limit.  Limit WLF file by time, as closely as possible,
870
; to the specified amount of simulation time.  When the limit is exceeded
871
; the earliest times get truncated from the file.
872
; If both time and size limits are specified the most restrictive is used.
873
; UserTimeUnits are used if time units are not specified.
874
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
875
; WLFTimeLimit = 0
876
 
877
; WLF file size limit.  Limit WLF file size, as closely as possible,
878
; to the specified number of megabytes.  If both time and size limits
879
; are specified then the most restrictive is used.
880
; The default is 0 (no limit).
881
; WLFSizeLimit = 1000
882
 
883
; Specify whether or not a WLF file should be deleted when the
884
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
885
; The default is 0 (do not delete WLF file when simulation ends).
886
; WLFDeleteOnQuit = 1
887
 
888
; Specify whether or not a WLF file should be indexed during
889
; simulation.  If set to 0, the WLF file will not be indexed.
890
; The default is 1, indexed the WLF file.
891
; WLFIndex = 0
892
 
893
; Specify whether or not a WLF file should be optimized during
894
; simulation.  If set to 0, the WLF file will not be optimized.
895
; The default is 1, optimize the WLF file.
896
; WLFOptimize = 0
897
 
898
; Specify the name of the WLF file.
899
; The default is vsim.wlf
900
; WLFFilename = vsim.wlf
901
 
902
; Specify whether to lock the WLF file using system lockd locking mechanism.
903
; Locking the file prevents other invocations of ModelSim/Questa tools from
904
; inadvertently overwriting the WLF file.
905
; The default is 1, lock the WLF file.
906
; WLFFileLock = 0
907
 
908
; Specify the WLF reader cache size limit for each open WLF file.
909
; The size is giving in megabytes.  A value of 0 turns off the
910
; WLF cache.
911
; WLFSimCacheSize allows a different cache size to be set for
912
; simulation WLF file independent of post-simulation WLF file
913
; viewing.  If WLFSimCacheSize is not set it defaults to the
914
; WLFCacheSize setting.
915
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
916
; WLFCacheSize = 2000
917
; WLFSimCacheSize = 500
918
 
919
; Specify the WLF file event collapse mode.
920
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
921
; 1 = Only record values of logged objects at the end of a simulator iteration.
922
;     (same as -wlfcollapsedelta)
923
; 2 = Only record values of logged objects at the end of a simulator time step.
924
;     (same as -wlfcollapsetime)
925
; The default is 1.
926
; WLFCollapseMode = 0
927
 
928
; Specify whether WLF file logging can use threads on multi-processor machines
929
; if 0, no threads will be used, if 1, threads will be used if the system has
930
; more than one processor
931
; WLFUseThreads = 1
932
 
933
; Turn on/off undebuggable SystemC type warnings. Default is on.
934
; ShowUndebuggableScTypeWarning = 0
935
 
936
; Turn on/off unassociated SystemC name warnings. Default is off.
937
; ShowUnassociatedScNameWarning = 1
938
 
939
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
940
; ScShowIeeeDeprecationWarnings = 1
941
 
942
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
943
; ScEnableScSignalWriteCheck = 1
944
 
945
; Set SystemC default time unit.
946
; Set to fs, ps, ns, us, ms, or sec with optional
947
; prefix of 1, 10, or 100.  The default is 1 ns.
948
; The ScTimeUnit value is honored if it is coarser than Resolution.
949
; If ScTimeUnit is finer than Resolution, it is set to the value
950
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
951
; then the default time unit will be 1 ns.  However if Resolution
952
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
953
ScTimeUnit = ns
954
 
955
; Set SystemC sc_main stack size. The stack size is set as an integer
956
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
957
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
958
; on the amount of data on the sc_main() stack and the memory required
959
; to succesfully execute the longest function call chain of sc_main().
960
ScMainStackSize = 10 Mb
961
 
962
; Turn on/off execution of remainder of sc_main upon quitting the current
963
; simulation session. If the cumulative length of sc_main() in terms of
964
; simulation time units is less than the length of the current simulation
965
; run upon quit or restart, sc_main() will be in the middle of execution.
966
; This switch gives the option to execute the remainder of sc_main upon
967
; quitting simulation. The drawback of not running sc_main till the end
968
; is memory leaks for objects created by sc_main. If on, the remainder of
969
; sc_main will be executed ignoring all delays. This may cause the simulator
970
; to crash if the code in sc_main is dependent on some simulation state.
971
; Default is on.
972
ScMainFinishOnQuit = 1
973
 
974
; Set the SCV relationship name that will be used to identify phase
975
; relations.  If the name given to a transactor relation matches this
976
; name, the transactions involved will be treated as phase transactions
977
ScvPhaseRelationName = mti_phase
978
 
979
; Customize the vsim kernel shutdown behavior at the end of the simulation.
980
; Some common causes of the end of simulation are $finish (implicit or explicit),
981
; sc_stop(), tf_dofinish(), and assertion failures.
982
; This should be set to "ask", "exit", or "stop". The default is "ask".
983
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
984
;            In GUI mode, a dialog box will pop up and ask for user confirmation
985
;            whether or not to quit the simulation.
986
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
987
;            post-simulation tasks easier.
988
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
989
; "final" -- Run SystemVerilog final blocks then behave as "stop".
990
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
991
OnFinish = ask
992
 
993
; Print pending deferred assertion messages.
994
; Deferred assertion messages may be scheduled after the $finish in the same
995
; time step. Deferred assertions scheduled to print after the $finish are
996
; printed before exiting with severity level NOTE since it's not known whether
997
; the assertion is still valid due to being printed in the active region
998
; instead of the reactive region where they are normally printed.
999
; OnFinishPendingAssert = 1;
1000
 
1001
; Print "simstats" result at the end of simulation before shutdown.
1002
; If this is enabled, the simstats result will be printed out before shutdown.
1003
; The default is off.
1004
; PrintSimStats = 1
1005
 
1006
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1007
; AssertFile = assert.log
1008
 
1009
; Run simulator in assertion debug mode. Default is off.
1010
; AssertionDebug = 1
1011
 
1012
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1013
; AssertionEnable = 0
1014
 
1015
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1016
; Any positive integer, -1 for infinity.
1017
; AssertionLimit = 1
1018
 
1019
; Turn on/off PSL concurrent assertion pass log. Default is off.
1020
; The flag does not affect SVA
1021
; AssertionPassLog = 1
1022
 
1023
; Turn on/off PSL concurrent assertion fail log. Default is on.
1024
; The flag does not affect SVA
1025
; AssertionFailLog = 0
1026
 
1027
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1028
; AssertionFailLocalVarLog = 0
1029
 
1030
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1031
; 0 = Continue  1 = Break  2 = Exit
1032
; AssertionFailAction = 1
1033
 
1034
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1035
; AssertionActiveThreadMonitor = 1
1036
 
1037
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1038
; AssertionActiveThreadMonitorLimit = 5
1039
 
1040
; Assertion thread limit after which assertion would be killed/switched off.
1041
; The default is -1 (unlimited). If the number of threads for an assertion go
1042
; beyond this limit, the assertion would be either switched off or killed. This
1043
; limit applies to only assert directives.
1044
;AssertionThreadLimit = -1
1045
 
1046
; Action to be taken once the assertion thread limit is reached. Default
1047
; is kill. It can have a value of off or kill. In case of kill, all the existing
1048
; threads are terminated and no new attempts are started. In case of off, the
1049
; existing attempts keep on evaluating but no new attempts are started. This
1050
; variable applies to only assert directives.
1051
;AssertionThreadLimitAction = kill
1052
 
1053
; Cover thread limit after which cover would be killed/switched off.
1054
; The default is -1 (unlimited). If the number of threads for a cover go
1055
; beyond this limit, the cover would be either switched off or killed. This
1056
; limit applies to only cover directives.
1057
;CoverThreadLimit = -1
1058
 
1059
; Action to be taken once the cover thread limit is reached. Default
1060
; is kill. It can have a value of off or kill. In case of kill, all the existing
1061
; threads are terminated and no new attempts are started. In case of off, the
1062
; existing attempts keep on evaluating but no new attempts are started. This
1063
; variable applies to only cover directives.
1064
;CoverThreadLimitAction = kill
1065
 
1066
 
1067
; By default immediate assertions do not participate in Assertion Coverage calculations
1068
; unless they are executed.  This switch causes all immediate assertions in the design
1069
; to participate in Assertion Coverage calculations, whether attempted or not.
1070
; UnattemptedImmediateAssertions = 0
1071
 
1072
 
1073
 
1074
; As per strict 1850-2005 PSL LRM, an always property can either pass
1075
; or fail. However, by default, Questa reports multiple passes and
1076
; multiple fails on top always/never property (always/never operator
1077
; is the top operator under Verification Directive). The reason
1078
; being that Questa reports passes and fails on per attempt of the
1079
; top always/never property. Use the following flag to instruct
1080
; Questa to strictly follow LRM. With this flag, all assert/never
1081
; directives will start an attempt once at start of simulation.
1082
; The attempt can either fail, match or match vacuously.
1083
; For e.g. if always is the top operator under assert, the always will
1084
; keep on checking the property at every clock. If the property under
1085
; always fails, the directive will be considered failed and no more
1086
; checking will be done for that directive. A top always property,
1087
; if it does not fail, will show a pass at end of simulation.
1088
; The default value is '0' (i.e. zero is off). For example:
1089
; PslOneAttempt = 1
1090
 
1091
; Specify the number of clock ticks to represent infinite clock ticks.
1092
; This affects eventually!, until! and until_!. If at End of Simulation
1093
; (EOS) an active strong-property has not clocked this number of
1094
; clock ticks then neither pass or fail (vacuous match) is returned
1095
; else respective fail/pass is returned. The default value is '0' (zero)
1096
; which effectively does not check for clock tick condition. For example:
1097
; PslInfinityThreshold = 5000
1098
 
1099
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1100
; instance.  Default is -1 (ALL).
1101
; ATVStartTimeKeepCount = -1
1102
 
1103
; Turn on/off code coverage
1104
; CodeCoverage = 0
1105
 
1106
; Count all code coverage condition and expression truth table rows that match.
1107
; CoverCountAll = 1
1108
 
1109
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1110
; is to include them.
1111
; ToggleNoIntegers = 1
1112
 
1113
; Set the maximum number of values that are collected for toggle coverage of
1114
; VHDL integers. Default is 100;
1115
; ToggleMaxIntValues = 100
1116
 
1117
; Set the maximum number of values that are collected for toggle coverage of
1118
; Verilog real. Default is 100;
1119
; ToggleMaxRealValues = 100
1120
 
1121
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1122
; for enumeration types. Default is to include them.
1123
; ToggleVlogIntegers = 0
1124
 
1125
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1126
; for shortreal types. Default is to not include them.
1127
; ToggleVlogReal = 1
1128
 
1129
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1130
; and VHDL arrays-of-arrays in toggle coverage.
1131
; Default is to not include them.
1132
; ToggleFixedSizeArray = 1
1133
 
1134
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1135
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1136
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1137
; Default is 1024.
1138
; ToggleMaxFixedSizeArray = 1024
1139
 
1140
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1141
; one-dimensional packed vectors for toggle coverage. Default is 0.
1142
; TogglePackedAsVec = 0
1143
 
1144
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1145
; toggle coverage. Default is 0.
1146
; ToggleVlogEnumBits = 0
1147
 
1148
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1149
; For unlimited width, set to 0.
1150
; ToggleWidthLimit = 128
1151
 
1152
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1153
; reached this count, further activity on the bit is ignored. Default is 1.
1154
; For unlimited counts, set to 0.
1155
; ToggleCountLimit = 1
1156
 
1157
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1158
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1159
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1160
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1161
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1162
; ExtendedToggleMode = 3
1163
 
1164
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1165
; CoverEnable = 0
1166
 
1167
; Turn on/off PSL/SVA cover log.  Default is off "0".
1168
; CoverLog = 1
1169
 
1170
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1171
; CoverAtLeast = 2
1172
 
1173
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1174
; Any positive integer, -1 for infinity.
1175
; CoverLimit = 1
1176
 
1177
; Specify the coverage database filename.
1178
; Default is "" (i.e. database is NOT automatically saved on close).
1179
; UCDBFilename = vsim.ucdb
1180
 
1181
; Specify the maximum limit for the number of Cross (bin) products reported
1182
; in XML and UCDB report against a Cross. A warning is issued if the limit
1183
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1184
; setting.
1185
; MaxReportRhsSVCrossProducts = 1000
1186
 
1187
; Specify the override for the "auto_bin_max" option for the Covergroups.
1188
; If not specified then value from Covergroup "option" is used.
1189
; SVCoverpointAutoBinMax = 64
1190
 
1191
; Specify the override for the value of "cross_num_print_missing"
1192
; option for the Cross in Covergroups. If not specified then value
1193
; specified in the "option.cross_num_print_missing" is used. This
1194
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1195
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1196
; specified in modelsim.ini.
1197
; SVCrossNumPrintMissing = 0
1198
 
1199
; Specify whether to use the value of "cross_num_print_missing"
1200
; option in report and GUI for the Cross in Covergroups. If not specified then
1201
; cross_num_print_missing is ignored for creating reports and displaying
1202
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1203
; UseSVCrossNumPrintMissing = 0
1204
 
1205
; Specify the override for the value of "strobe" option for the
1206
; Covergroup Type. If not specified then value in "type_option.strobe"
1207
; will be used. This is runtime option which forces "strobe" to
1208
; user specified value and supersedes user specified values in the
1209
; SystemVerilog Code. NOTE: This also overrides the compile time
1210
; default value override specified using "SVCovergroupStrobeDefault"
1211
; SVCovergroupStrobe = 0
1212
 
1213
; Override for explicit assignments in source code to "option.goal" of
1214
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1215
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1216
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1217
; SVCovergroupGoal = 100
1218
 
1219
; Override for explicit assignments in source code to "type_option.goal" of
1220
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1221
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1222
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1223
; SVCovergroupTypeGoal = 100
1224
 
1225
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1226
; builtin functions, and report. This setting changes the default values of
1227
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1228
; behavior if explicit assignments are not made on option.get_inst_coverage and
1229
; type_option.merge_instances by the user. There are two vsim command line
1230
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1231
; The default value of this variable from release 6.6 onwards is 0. This default
1232
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1233
; SVCovergroup63Compatibility = 0
1234
 
1235
; Enforce the 6.5 default behavior of covergroup get_coverage() builtin
1236
; functions, GUI, and report. This setting changes the default values of
1237
; type_option.merge_instances to ensure the 6.5 default behavior if explicit
1238
; assignments are not made on type_option.merge_instances by the user.
1239
; There are two vsim command line options, -cvgmergeinstances and
1240
; -nocvgmergeinstances to override this setting from vsim command line.
1241
; The default value of this variable from release 6.6 onwards is 0. This default
1242
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1243
; SvCovergroupMergeInstancesDefault = 1
1244
 
1245
; Enable or disable generation of more detailed information about the sampling
1246
; of covergroup, cross, and coverpoints. It provides the details of the number
1247
; of times the covergroup instance and type were sampled, as well as details
1248
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1249
; is to enable this feature. 0 is to disable this feature. Default is 0
1250
; SVCovergroupSampleInfo = 0
1251
 
1252
; Specify the maximum number of Coverpoint bins in whole design for
1253
; all Covergroups.
1254
; MaxSVCoverpointBinsDesign = 2147483648
1255
 
1256
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1257
; MaxSVCoverpointBinsInst = 2147483648
1258
 
1259
; Specify the maximum number of Cross bins in whole design for
1260
; all Covergroups.
1261
; MaxSVCrossBinsDesign = 2147483648
1262
 
1263
; Specify maximum number of Cross bins in any instance of a Covergroup
1264
; MaxSVCrossBinsInst = 2147483648
1265
 
1266
; Set weight for all PSL/SVA cover directives.  Default is 1.
1267
; CoverWeight = 2
1268
 
1269
; Check vsim plusargs.  Default is 0 (off).
1270
; 0 = Don't check plusargs
1271
; 1 = Warning on unrecognized plusarg
1272
; 2 = Error and exit on unrecognized plusarg
1273
; CheckPlusargs = 1
1274
 
1275
; Load the specified shared objects with the RTLD_GLOBAL flag.
1276
; This gives global visibility to all symbols in the shared objects,
1277
; meaning that subsequently loaded shared objects can bind to symbols
1278
; in the global shared objects.  The list of shared objects should
1279
; be whitespace delimited.  This option is not supported on the
1280
; Windows or AIX platforms.
1281
; GlobalSharedObjectList = example1.so example2.so example3.so
1282
 
1283
; Run the 0in tools from within the simulator.
1284
; Default is off.
1285
; ZeroIn = 1
1286
 
1287
; Set the options to be passed to the 0in runtime tool.
1288
; Default value set to "".
1289
; ZeroInOptions = ""
1290
 
1291
; Initial seed for the random number generator of the root thread (SystemVerilog).
1292
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1293
; The default value is 0.
1294
; Sv_Seed = 0
1295
 
1296
; Specify the solver "engine" that vsim will select for constrained random
1297
; generation.
1298
; Valid values are:
1299
;    "auto" - automatically select the best engine for the current
1300
;             constraint scenario
1301
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1302
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1303
; While the BDD solver engine is generally efficient with constraint scenarios
1304
; involving bitwise logical relationships, the ACT solver engine can exhibit
1305
; superior performance with constraint scenarios involving large numbers of
1306
; random variables related via arithmetic operators (+, *, etc).
1307
; NOTE: At this time, the "auto" setting is equivalent to the "bdd" setting.
1308
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1309
; line switch.
1310
; The default value is "auto".
1311
; SolveEngine = auto
1312
 
1313
; Specify if the solver should attempt to ignore overflow/underflow semantics
1314
; for arithmetic constraints (multiply, addition, subtraction) in order to
1315
; improve performance. The "solveignoreoverflow" attribute can be specified on
1316
; a per-call basis to randomize() to override this setting.
1317
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1318
; ignore overflow/underflow.
1319
; SolveIgnoreOverflow = 0
1320
 
1321
; Specifies the maximum size that a dynamic array may be resized to by the
1322
; solver. If the solver attempts to resize a dynamic array to a size greater
1323
; than the specified limit, the solver will abort with an error.
1324
; The default value is 2000. A value of 0 indicates no limit.
1325
; SolveArrayResizeMax = 2000
1326
 
1327
; Error message severity when randomize() failure is detected (SystemVerilog).
1328
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1329
; The default is 0 (no error).
1330
; SolveFailSeverity = 0
1331
 
1332
; Enable/disable debug information for randomize() failures.
1333
; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command
1334
; line switch.
1335
; The default is 0 (disabled). Set to 1 to enable.
1336
; SolveFailDebug = 0
1337
 
1338
; Specify the maximum size of the solution graph generated by the BDD solver.
1339
; This value can be used to force the BDD solver to abort the evaluation of a
1340
; complex constraint scenario that cannot be evaluated with finite memory.
1341
; This value is specified in 1000s of nodes.
1342
; The default value is 10000. A value of 0 indicates no limit.
1343
; SolveGraphMaxSize = 10000
1344
 
1345
; Specify the maximum number of evaluations that may be performed on the
1346
; solution graph by the BDD solver. This value can be used to force the BDD
1347
; solver to abort the evaluation of a complex constraint scenario that cannot
1348
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1349
; The default value is 10000. A value of 0 indicates no limit.
1350
; SolveGraphMaxEval = 10000
1351
 
1352
; Specify the maximum number of tests that the ACT solver may evaluate before
1353
; abandoning an attempt to solve a particular constraint scenario.
1354
; The default value is 1000000.  A value of 0 indicates no limit.
1355
; SolveACTMaxTests = 1000000
1356
 
1357
; Specify the number of times the ACT solver will retry to evaluate a constraint
1358
; scenario that fails due to the SolveACTMaxTests threshold.
1359
; The default value is 0 (no retry).
1360
; SolveACTRetryCount = 0
1361
 
1362
; SolveSpeculateLevel controls whether or not the solver performs speculation
1363
; during the evaluation of a constraint scenario.
1364
; Speculation is an attempt to partition complex constraint scenarios by
1365
; choosing a 'speculation' subset of the variables and constraints.  This
1366
; 'speculation' set is solved independently of the remaining constraints.
1367
; The solver then attempts to solve the remaining variables and constraints
1368
; (the 'dependent' set).  If this attempt fails, the solver backs up and
1369
; re-solves the 'speculation' set, then retries the 'dependent' set.
1370
; Valid values are:
1371
;    0 - no speculation
1372
;    1 - enable speculation that maintains LRM specified distribution
1373
;    2 - enable other speculation - may yield non-LRM distribution
1374
; Currently, distribution constraints and solve-before constraints are
1375
; used in selecting the 'speculation' sets for speculation level 1. Non-LRM
1376
; compliant speculation includes random variables in condition expressions.
1377
; The default value is 0.
1378
; SolveSpeculateLevel = 0
1379
 
1380
; By default, when speculation is enabled, the solver first tries to solve a
1381
; constraint scenario *without* speculation. If the solver fails to evaluate
1382
; the constraint scenario (due to time/memory limits) then the solver will
1383
; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst
1384
; is set to 1, the solver will skip the initial non-speculative attempt to
1385
; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is
1386
; non-zero)
1387
; The default value is 0.
1388
; SolveSpeculateFirst = 0
1389
 
1390
; Specify the maximum bit width of a variable in a conditional expression that
1391
; may be considered as the basis for "conditional" speculation. (Only applies
1392
; when SolveSpeculateLevel=2)
1393
; The default value is 6.
1394
; SolveSpeculateMaxCondWidth = 6
1395
 
1396
; Specify the maximum number of attempts to solve a speculative set of random
1397
; variables and constraints. Exceeding this limit will cause the solver to
1398
; abandon the current speculative set. (Only applies when SolveSpeculateLevel
1399
; is non-zero)
1400
; The default value is 100.
1401
; SolveSpeculateMaxIterations = 100
1402
 
1403
; Specifies whether to attempt speculation on solve-before constraints or
1404
; distribution constraints first. A value of 0 specifies that solve-before
1405
; constraints are attempted first as the basis for speculative randomization.
1406
; A value of 1 specifies that distribution constraints are attempted first
1407
; as the basis for speculative randomization.
1408
; The default value is 0.
1409
; SolveSpeculateDistFirst = 0
1410
 
1411
; If the non-speculative BDD solver fails to evaluate a constraint scenario
1412
; (due to time/memory limits) then the solver can be instructed to automatically
1413
; re-evaluate the constraint scenario with the ACT solver engine. Set
1414
; SolveACTbeforeSpeculate to 1 to enable this feature.
1415
; The default value is 0 (do not re-evaluate with the ACT solver).
1416
; SolveACTbeforeSpeculate = 0
1417
 
1418
; Use SolveFlags to specify options that will guide the behavior of the
1419
; constraint solver. These options may improve the performance of the
1420
; constraint solver for some testcases, and decrease the performance of the
1421
; constraint solver for others.
1422
; Valid flags are:
1423
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1424
;    n = disable bit interleaving for all constraints (BDD engine)
1425
;    r = reverse bit interleaving (BDD engine)
1426
; The default value is "" (no options).
1427
; SolveFlags =
1428
 
1429
; Specify random sequence compatiblity with a prior letter release. This
1430
; option is used to get the same random sequences during simulation as
1431
; as a prior letter release. Only prior letter releases (of the current
1432
; number release) are allowed.
1433
; NOTE: Only those random sequence changes due to solver optimizations are
1434
; reverted by this variable. Random sequence changes due to solver bugfixes
1435
; cannot be un-done.
1436
; NOTE: This variable can be overridden with the vsim "-solverev" command
1437
; line switch.
1438
; Default value set to "" (no compatibility).
1439
; SolveRev =
1440
 
1441
; Environment variable expansion of command line arguments has been depricated
1442
; in favor shell level expansion.  Universal environment variable expansion
1443
; inside -f files is support and continued support for MGC Location Maps provide
1444
; alternative methods for handling flexible pathnames.
1445
; The following line may be uncommented and the value set to 1 to re-enable this
1446
; deprecated behavior.  The default value is 0.
1447
; DeprecatedEnvironmentVariableExpansion = 0
1448
 
1449
; Turn on/off collapsing of bus ports in VCD dumpports output
1450
DumpportsCollapse = 1
1451
 
1452
; Location of Multi-Level Verification Component (MVC) installation.
1453
; The default location is the product installation directory.
1454
; MvcHome = $MODEL_TECH/...
1455
 
1456
; Initialize SystemVerilog enums using the base type's default value
1457
; instead of the leftmost value.
1458
; EnumBaseInit = 1
1459
 
1460
[lmc]
1461
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1462
libsm = $MODEL_TECH/libsm.sl
1463
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1464
; libsm = $MODEL_TECH/libsm.dll
1465
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1466
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1467
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1468
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1469
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1470
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1471
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1472
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1473
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1474
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1475
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1476
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1477
 
1478
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1479
libhm = $MODEL_TECH/libhm.sl
1480
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1481
; libhm = $MODEL_TECH/libhm.dll
1482
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1483
; libsfi = /lib/hp700/libsfi.sl
1484
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1485
; libsfi = /lib/rs6000/libsfi.a
1486
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1487
; libsfi = /lib/sun4.solaris/libsfi.so
1488
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1489
; libsfi = /lib/pcnt/lm_sfi.dll
1490
;  Logic Modeling's hardware modeler SFI software (Linux)
1491
; libsfi = /lib/linux/libsfi.so
1492
 
1493
[msg_system]
1494
; Change a message severity or suppress a message.
1495
; The format is:  = [,...]
1496
; suppress can be used to achieve +nowarn functionality
1497
; The format is: suppress = ,,[,,...]
1498
; Examples:
1499
;   note = 3009
1500
;   warning = 3033
1501
;   error = 3010,3016
1502
;   fatal = 3016,3033
1503
;   suppress = 3009,3016,3043
1504
;   suppress = 3009,CNNODP,3043,TFMPC
1505
;   suppress = 8683,8684
1506
; The command verror  can be used to get the complete
1507
; description of a message.
1508
 
1509
; Control transcripting of Verilog display system task messages and
1510
; PLI/FLI print function call messages.  The system tasks include
1511
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1512
; also include the analogous file I/O tasks that write to STDOUT
1513
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1514
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1515
; is to have messages appear only in the transcript.  The other
1516
; settings are to send messages to the wlf file only (messages that
1517
; are recorded in the wlf file can be viewed in the MsgViewer) or
1518
; to both the transcript and the wlf file.  The valid values are
1519
;    tran  {transcript only (default)}
1520
;    wlf   {wlf file only}
1521
;    both  {transcript and wlf file}
1522
; displaymsgmode = tran
1523
 
1524
; Control transcripting of elaboration/runtime messages not
1525
; addressed by the displaymsgmode setting.  The default is to
1526
; have messages appear in the transcript and recorded in the wlf
1527
; file (messages that are recorded in the wlf file can be viewed
1528
; in the MsgViewer).  The other settings are to send messages
1529
; only to the transcript or only to the wlf file.  The valid
1530
; values are
1531
;    both  {default}
1532
;    tran  {transcript only}
1533
;    wlf   {wlf file only}
1534
; msgmode = both
1535
[Project]
1536
; Warning -- Do not edit the project properties directly.
1537
;            Property names are dynamic in nature and property
1538
;            values have special syntax.  Changing property data directly
1539
;            can result in a corrupt MPF file.  All project properties
1540
;            can be modified through project window dialogs.
1541
Project_Version = 6
1542
Project_DefaultLib = work
1543
Project_SortMethod = unused
1544
Project_Files_Count = 2
1545
Project_File_0 = scaler.v
1546
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1298530658 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
1547
Project_File_1 = scaler_tb.v
1548
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1298529529 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
1549
Project_Sim_Count = 1
1550
Project_Sim_0 = Simulation 1
1551
Project_Sim_P_0 = Generics {} timing default -std_output {} -nopsl 0 +notimingchecks 0 -L {} selected_du {} -hazards 0 -sdf {} ok 1 -0in 0 -nosva 0 folder {Top Level} +pulse_r {} -absentisempty 0 is_vopt_opt_used 2 OtherArgs {} -multisource_delay {} +pulse_e {} vopt_env 1 -coverage 0 -sdfnoerror 0 +plusarg {} -vital2.2b 0 -t default -memprof 0 is_vopt_flow 0 additional_dus scaler_tb -noglitch 0 -nofileshare 0 -wlf {} -assertdebug 0 +no_pulse_msg 0 -0in_options {} -assertfile {} -sdfnowarn 0 -Lf {} -std_input {}
1552
Project_Folder_Count = 0
1553
Echo_Compile_Output = 1
1554
Save_Compile_Report = 0
1555
Project_Opt_Count = 0
1556
ForceSoftPaths = 0
1557
ProjectStatusDelay = 5000
1558
VERILOG_DoubleClick = Edit
1559
VERILOG_CustomDoubleClick =
1560
SYSTEMVERILOG_DoubleClick = Edit
1561
SYSTEMVERILOG_CustomDoubleClick =
1562
VHDL_DoubleClick = Edit
1563
VHDL_CustomDoubleClick =
1564
PSL_DoubleClick = Edit
1565
PSL_CustomDoubleClick =
1566
TEXT_DoubleClick = Edit
1567
TEXT_CustomDoubleClick =
1568
SYSTEMC_DoubleClick = Edit
1569
SYSTEMC_CustomDoubleClick =
1570
TCL_DoubleClick = Edit
1571
TCL_CustomDoubleClick =
1572
MACRO_DoubleClick = Edit
1573
MACRO_CustomDoubleClick =
1574
VCD_DoubleClick = Edit
1575
VCD_CustomDoubleClick =
1576
SDF_DoubleClick = Edit
1577
SDF_CustomDoubleClick =
1578
XML_DoubleClick = Edit
1579
XML_CustomDoubleClick =
1580
LOGFILE_DoubleClick = Edit
1581
LOGFILE_CustomDoubleClick =
1582
UCDB_DoubleClick = Edit
1583
UCDB_CustomDoubleClick =
1584
PROJECT_DoubleClick = Edit
1585
PROJECT_CustomDoubleClick =
1586
Project_Major_Version = 6
1587
Project_Minor_Version = 6

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.