OpenCores
URL https://opencores.org/ocsvn/vspi/vspi/trunk

Subversion Repositories vspi

[/] [vspi/] [trunk/] [projnav/] [xps/] [pcores/] [spiifc_v1_00_a/] [devl/] [projnav/] [ipcore_dir/] [spiloopmem_ste/] [example_design/] [spiloopmem_top.ucf] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 mjlyons
################################################################################
2
#
3
# (c) Copyright 2002 - 2010 Xilinx, Inc. All rights reserved.
4
#
5
# This file contains confidential and proprietary information
6
# of Xilinx, Inc. and is protected under U.S. and
7
# international copyright and other intellectual property
8
# laws.
9
#
10
# DISCLAIMER
11
# This disclaimer is not a license and does not grant any
12
# rights to the materials distributed herewith. Except as
13
# otherwise provided in a valid license issued to you by
14
# Xilinx, and to the maximum extent permitted by applicable
15
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
# (2) Xilinx shall not be liable (whether in contract or tort,
21
# including negligence, or under any other theory of
22
# liability) for any loss or damage of any kind or nature
23
# related to, arising under or in connection with these
24
# materials, including for any direct, or any indirect,
25
# special, incidental, or consequential loss or damage
26
# (including loss of data, profits, goodwill, or any type of
27
# loss or damage suffered as a result of any action brought
28
# by a third party) even if such damage or loss was
29
# reasonably foreseeable or Xilinx had been advised of the
30
# possibility of the same.
31
#
32
# CRITICAL APPLICATIONS
33
# Xilinx products are not designed or intended to be fail-
34
# safe, or for use in any application requiring fail-safe
35
# performance, such as life-support or safety devices or
36
# systems, Class III medical devices, nuclear facilities,
37
# applications related to the deployment of airbags, or any
38
# other applications that could lead to death, personal
39
# injury, or severe property or environmental damage
40
# (individually and collectively, "Critical
41
# Applications"). Customer assumes the sole risk and
42
# liability of any use of Xilinx products in Critical
43
# Applications, subject only to applicable laws and
44
# regulations governing limitations on product liability.
45
#
46
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
# PART OF THIS FILE AT ALL TIMES.
48
#
49
################################################################################
50
 
51
# Tx Core Period Constraint. This constraint can be modified, and is
52
# valid as long as it is met after place and route.
53
NET "CLKA"  TNM_NET     = "CLKA";
54
 
55
NET "CLKB"  TNM_NET     = "CLKB";
56
 
57
TIMESPEC "TS_CLKA"      = PERIOD "CLKA"  25 MHZ;
58
 
59
TIMESPEC "TS_CLKB"      = PERIOD "CLKB"  25 MHZ;
60
 
61
################################################################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.