OpenCores
URL https://opencores.org/ocsvn/vspi/vspi/trunk

Subversion Repositories vspi

[/] [vspi/] [trunk/] [projnav/] [xps/] [pcores/] [spiifc_v1_00_a/] [hdl/] [verilog/] [buffermem.v] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 mjlyons
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2012 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file buffermem.v when simulating
29
// the core, buffermem. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module buffermem(
40
  clka,
41
  ena,
42
  wea,
43
  addra,
44
  dina,
45
  douta,
46
  clkb,
47
  enb,
48
  web,
49
  addrb,
50
  dinb,
51
  doutb
52
);
53
 
54
input clka;
55
input ena;
56
input [0 : 0] wea;
57
input [11 : 0] addra;
58
input [7 : 0] dina;
59
output [7 : 0] douta;
60
input clkb;
61
input enb;
62
input [0 : 0] web;
63
input [9 : 0] addrb;
64
input [31 : 0] dinb;
65
output [31 : 0] doutb;
66
 
67
// synthesis translate_off
68
 
69
  BLK_MEM_GEN_V6_2 #(
70
    .C_ADDRA_WIDTH(12),
71
    .C_ADDRB_WIDTH(10),
72
    .C_ALGORITHM(1),
73
    .C_AXI_ID_WIDTH(4),
74
    .C_AXI_SLAVE_TYPE(0),
75
    .C_AXI_TYPE(1),
76
    .C_BYTE_SIZE(9),
77
    .C_COMMON_CLK(1),
78
    .C_DEFAULT_DATA("0"),
79
    .C_DISABLE_WARN_BHV_COLL(0),
80
    .C_DISABLE_WARN_BHV_RANGE(0),
81
    .C_FAMILY("spartan6"),
82
    .C_HAS_AXI_ID(0),
83
    .C_HAS_ENA(1),
84
    .C_HAS_ENB(1),
85
    .C_HAS_INJECTERR(0),
86
    .C_HAS_MEM_OUTPUT_REGS_A(0),
87
    .C_HAS_MEM_OUTPUT_REGS_B(0),
88
    .C_HAS_MUX_OUTPUT_REGS_A(0),
89
    .C_HAS_MUX_OUTPUT_REGS_B(0),
90
    .C_HAS_REGCEA(0),
91
    .C_HAS_REGCEB(0),
92
    .C_HAS_RSTA(0),
93
    .C_HAS_RSTB(0),
94
    .C_HAS_SOFTECC_INPUT_REGS_A(0),
95
    .C_HAS_SOFTECC_OUTPUT_REGS_B(0),
96
    .C_INIT_FILE_NAME("no_coe_file_loaded"),
97
    .C_INITA_VAL("0"),
98
    .C_INITB_VAL("0"),
99
    .C_INTERFACE_TYPE(0),
100
    .C_LOAD_INIT_FILE(0),
101
    .C_MEM_TYPE(2),
102
    .C_MUX_PIPELINE_STAGES(0),
103
    .C_PRIM_TYPE(1),
104
    .C_READ_DEPTH_A(4096),
105
    .C_READ_DEPTH_B(1024),
106
    .C_READ_WIDTH_A(8),
107
    .C_READ_WIDTH_B(32),
108
    .C_RST_PRIORITY_A("CE"),
109
    .C_RST_PRIORITY_B("CE"),
110
    .C_RST_TYPE("SYNC"),
111
    .C_RSTRAM_A(0),
112
    .C_RSTRAM_B(0),
113
    .C_SIM_COLLISION_CHECK("ALL"),
114
    .C_USE_BYTE_WEA(0),
115
    .C_USE_BYTE_WEB(0),
116
    .C_USE_DEFAULT_DATA(0),
117
    .C_USE_ECC(0),
118
    .C_USE_SOFTECC(0),
119
    .C_WEA_WIDTH(1),
120
    .C_WEB_WIDTH(1),
121
    .C_WRITE_DEPTH_A(4096),
122
    .C_WRITE_DEPTH_B(1024),
123
    .C_WRITE_MODE_A("WRITE_FIRST"),
124
    .C_WRITE_MODE_B("WRITE_FIRST"),
125
    .C_WRITE_WIDTH_A(8),
126
    .C_WRITE_WIDTH_B(32),
127
    .C_XDEVICEFAMILY("spartan6")
128
  )
129
  inst (
130
    .CLKA(clka),
131
    .ENA(ena),
132
    .WEA(wea),
133
    .ADDRA(addra),
134
    .DINA(dina),
135
    .DOUTA(douta),
136
    .CLKB(clkb),
137
    .ENB(enb),
138
    .WEB(web),
139
    .ADDRB(addrb),
140
    .DINB(dinb),
141
    .DOUTB(doutb),
142
    .RSTA(),
143
    .REGCEA(),
144
    .RSTB(),
145
    .REGCEB(),
146
    .INJECTSBITERR(),
147
    .INJECTDBITERR(),
148
    .SBITERR(),
149
    .DBITERR(),
150
    .RDADDRECC(),
151
    .S_ACLK(),
152
    .S_ARESETN(),
153
    .S_AXI_AWID(),
154
    .S_AXI_AWADDR(),
155
    .S_AXI_AWLEN(),
156
    .S_AXI_AWSIZE(),
157
    .S_AXI_AWBURST(),
158
    .S_AXI_AWVALID(),
159
    .S_AXI_AWREADY(),
160
    .S_AXI_WDATA(),
161
    .S_AXI_WSTRB(),
162
    .S_AXI_WLAST(),
163
    .S_AXI_WVALID(),
164
    .S_AXI_WREADY(),
165
    .S_AXI_BID(),
166
    .S_AXI_BRESP(),
167
    .S_AXI_BVALID(),
168
    .S_AXI_BREADY(),
169
    .S_AXI_ARID(),
170
    .S_AXI_ARADDR(),
171
    .S_AXI_ARLEN(),
172
    .S_AXI_ARSIZE(),
173
    .S_AXI_ARBURST(),
174
    .S_AXI_ARVALID(),
175
    .S_AXI_ARREADY(),
176
    .S_AXI_RID(),
177
    .S_AXI_RDATA(),
178
    .S_AXI_RRESP(),
179
    .S_AXI_RLAST(),
180
    .S_AXI_RVALID(),
181
    .S_AXI_RREADY(),
182
    .S_AXI_INJECTSBITERR(),
183
    .S_AXI_INJECTDBITERR(),
184
    .S_AXI_SBITERR(),
185
    .S_AXI_DBITERR(),
186
    .S_AXI_RDADDRECC()
187
  );
188
 
189
// synthesis translate_on
190
 
191
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.