OpenCores
URL https://opencores.org/ocsvn/vspi/vspi/trunk

Subversion Repositories vspi

[/] [vspi/] [trunk/] [projnav/] [xps/] [system.make] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 mjlyons
#################################################################
2
# Makefile generated by Xilinx Platform Studio
3
# Project:C:\Users\mjlyons\workspace\vSPI\projnav\xps\system.xmp
4
#
5
# WARNING : This file will be re-generated every time a command
6
# to run a make target is invoked. So, any changes made to this
7
# file manually, will be lost when make is invoked next.
8
#################################################################
9
 
10
# Name of the Microprocessor system
11
# The hardware specification of the system is in file :
12
# C:\Users\mjlyons\workspace\vSPI\projnav\xps\system.mhs
13
 
14
include system_incl.make
15
 
16
#################################################################
17
# PHONY TARGETS
18
#################################################################
19
.PHONY: dummy
20
.PHONY: netlistclean
21
.PHONY: bitsclean
22
.PHONY: simclean
23
.PHONY: exporttosdk
24
 
25
#################################################################
26
# EXTERNAL TARGETS
27
#################################################################
28
all:
29
        @echo "Makefile to build a Microprocessor system :"
30
        @echo "Run make with any of the following targets"
31
        @echo " "
32
        @echo "  netlist  : Generates the netlist for the given MHS "
33
        @echo "  bits     : Runs Implementation tools to generate the bitstream"
34
        @echo "  exporttosdk: Export files to SDK"
35
        @echo " "
36
        @echo "  init_bram: Initializes bitstream with BRAM data"
37
        @echo "  ace      : Generate ace file from bitstream and elf"
38
        @echo "  download : Downloads the bitstream onto the board"
39
        @echo " "
40
        @echo "  sim      : Generates HDL simulation models and runs simulator for chosen simulation mode"
41
        @echo "  simmodel : Generates HDL simulation models for chosen simulation mode"
42
        @echo " "
43
        @echo "  netlistclean: Deletes netlist"
44
        @echo "  bitsclean: Deletes bit, ncd, bmm files"
45
        @echo "  hwclean  : Deletes implementation dir"
46
        @echo "  simclean : Deletes simulation dir"
47
        @echo "  clean    : Deletes all generated files/directories"
48
        @echo " "
49
 
50
bits: $(SYSTEM_BIT)
51
 
52
ace: $(SYSTEM_ACE)
53
 
54
exporttosdk: $(SYSTEM_HW_HANDOFF_DEP)
55
 
56
netlist: $(POSTSYN_NETLIST)
57
 
58
download: $(DOWNLOAD_BIT) dummy
59
        @echo "*********************************************"
60
        @echo "Downloading Bitstream onto the target board"
61
        @echo "*********************************************"
62
        impact -batch etc/download.cmd
63
 
64
init_bram: $(DOWNLOAD_BIT)
65
 
66
sim: $(DEFAULT_SIM_SCRIPT)
67
        cd simulation/behavioral & \
68
        system_fuse.cmd
69
        cd simulation/behavioral & \
70
        start /B $(SIM_CMD) -gui -tclbatch system_setup.tcl
71
 
72
simmodel: $(DEFAULT_SIM_SCRIPT)
73
 
74
behavioral_model: $(BEHAVIORAL_SIM_SCRIPT)
75
 
76
structural_model: $(STRUCTURAL_SIM_SCRIPT)
77
 
78
clean: hwclean simclean
79
        rm -f _impact.cmd
80
 
81
hwclean: netlistclean bitsclean
82
        rm -rf implementation synthesis xst hdl
83
        rm -rf xst.srp $(SYSTEM).srp
84
        rm -f __xps/ise/_xmsgs/bitinit.xmsgs
85
 
86
netlistclean:
87
        rm -f $(POSTSYN_NETLIST)
88
        rm -f platgen.log
89
        rm -f __xps/ise/_xmsgs/platgen.xmsgs
90
        rm -f $(BMM_FILE)
91
 
92
bitsclean:
93
        rm -f $(SYSTEM_BIT)
94
        rm -f implementation/$(SYSTEM).ncd
95
        rm -f implementation/$(SYSTEM)_bd.bmm
96
        rm -f implementation/$(SYSTEM)_map.ncd
97
        rm -f implementation/download.bit
98
        rm -f __xps/$(SYSTEM)_routed
99
 
100
simclean:
101
        rm -rf simulation/behavioral
102
        rm -f simgen.log
103
        rm -f __xps/ise/_xmsgs/simgen.xmsgs
104
 
105
#################################################################
106
# BOOTLOOP ELF FILES
107
#################################################################
108
 
109
 
110
$(MICROBLAZE_0_BOOTLOOP): $(MICROBLAZE_BOOTLOOP)
111
        IF NOT EXIST "$(BOOTLOOP_DIR)" @mkdir "$(BOOTLOOP_DIR)"
112
        cp -f $(MICROBLAZE_BOOTLOOP) $(MICROBLAZE_0_BOOTLOOP)
113
 
114
#################################################################
115
# HARDWARE IMPLEMENTATION FLOW
116
#################################################################
117
 
118
 
119
$(BMM_FILE) \
120
$(WRAPPER_NGC_FILES): $(MHSFILE) __xps/platgen.opt \
121
                      $(CORE_STATE_DEVELOPMENT_FILES)
122
        @echo "****************************************************"
123
        @echo "Creating system netlist for hardware specification.."
124
        @echo "****************************************************"
125
        platgen $(PLATGEN_OPTIONS) $(MHSFILE)
126
 
127
$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES)
128
        @echo "Running synthesis..."
129
        cd synthesis & synthesis.cmd
130
 
131
__xps/$(SYSTEM)_routed: $(FPGA_IMP_DEPENDENCY)
132
        @echo "*********************************************"
133
        @echo "Running Xilinx Implementation tools.."
134
        @echo "*********************************************"
135
        @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf
136
        @cp -f etc/fast_runtime.opt implementation/xflow.opt
137
        xflow -wd implementation -p $(DEVICE) -implement xflow.opt $(SYSTEM).ngc
138
        touch __xps/$(SYSTEM)_routed
139
 
140
$(SYSTEM_BIT): __xps/$(SYSTEM)_routed $(BITGEN_UT_FILE)
141
        xilperl $(XILINX_EDK_DIR)/data/fpga_impl/observe_par.pl $(OBSERVE_PAR_OPTIONS) implementation/$(SYSTEM).par
142
        @echo "*********************************************"
143
        @echo "Running Bitgen.."
144
        @echo "*********************************************"
145
        @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut
146
        cd implementation & bitgen -w -f bitgen.ut $(SYSTEM) & cd ..
147
 
148
$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_IMP_FILES) __xps/bitinit.opt
149
        @cp -f implementation/$(SYSTEM)_bd.bmm .
150
        @echo "*********************************************"
151
        @echo "Initializing BRAM contents of the bitstream"
152
        @echo "*********************************************"
153
        bitinit -p $(DEVICE) $(MHSFILE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_IMP_FILE_ARGS) \
154
        -bt $(SYSTEM_BIT) -o $(DOWNLOAD_BIT)
155
        @rm -f $(SYSTEM)_bd.bmm
156
 
157
$(SYSTEM_ACE):
158
        @echo "In order to generate ace file, you must have:-"
159
        @echo "- exactly one processor."
160
        @echo "- opb_mdm, if using microblaze."
161
 
162
#################################################################
163
# EXPORT_TO_SDK FLOW
164
#################################################################
165
 
166
$(SYSTEM_HW_HANDOFF): $(MHSFILE) __xps/platgen.opt
167
        IF NOT EXIST "$(SDK_EXPORT_DIR)" @mkdir "$(SDK_EXPORT_DIR)"
168
        psf2Edward -inp $(SYSTEM).xmp -exit_on_error -edwver 1.2 -xml $(SDK_EXPORT_DIR)/$(SYSTEM).xml $(GLOBAL_SEARCHPATHOPT)
169
 
170
$(SYSTEM_HW_HANDOFF_BIT): $(SYSTEM_BIT)
171
        @rm -rf $(SYSTEM_HW_HANDOFF_BIT)
172
        @cp -f $(SYSTEM_BIT) $(SDK_EXPORT_DIR)
173
 
174
$(SYSTEM_HW_HANDOFF_BMM): implementation/$(SYSTEM)_bd.bmm
175
        @rm -rf $(SYSTEM_HW_HANDOFF_BMM)
176
        @cp -f implementation/$(SYSTEM)_bd.bmm $(SDK_EXPORT_DIR)
177
 
178
#################################################################
179
# SIMULATION FLOW
180
#################################################################
181
 
182
 
183
################## BEHAVIORAL SIMULATION ##################
184
 
185
$(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \
186
                          $(BRAMINIT_ELF_SIM_FILES)
187
        @echo "*********************************************"
188
        @echo "Creating behavioral simulation models..."
189
        @echo "*********************************************"
190
        simgen $(SIMGEN_OPTIONS) -m behavioral $(MHSFILE)
191
 
192
################## STRUCTURAL SIMULATION ##################
193
 
194
$(STRUCTURAL_SIM_SCRIPT): $(WRAPPER_NGC_FILES) __xps/simgen.opt \
195
                          $(BRAMINIT_ELF_SIM_FILES)
196
        @echo "*********************************************"
197
        @echo "Creating structural simulation models..."
198
        @echo "*********************************************"
199
        simgen $(SIMGEN_OPTIONS) -sd implementation -m structural $(MHSFILE)
200
 
201
 
202
################## TIMING SIMULATION ##################
203
 
204
implementation/$(SYSTEM).ncd: __xps/$(SYSTEM)_routed
205
 
206
$(TIMING_SIM_SCRIPT): implementation/$(SYSTEM).ncd __xps/simgen.opt \
207
                      $(BRAMINIT_ELF_SIM_FILES)
208
        @echo "*********************************************"
209
        @echo "Creating timing simulation models..."
210
        @echo "*********************************************"
211
        simgen $(SIMGEN_OPTIONS) -sd implementation -m timing $(MHSFILE)
212
 
213
dummy:
214
        @echo ""
215
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.