OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [_ngo/] [cs_ila_pro_0/] [generate_ila_pro_0.xco] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wd5gnr
NEWPROJECT .
2
SETPROJECT .
3
SET device=xc3s50
4
SET flowvendor=Other
5
SET createndf=False
6
SET formalverification=False
7
SET speedgrade=-5
8
SET removerpms=False
9
SET devicefamily=spartan3
10
SET asysymbol=False
11
SET simulationfiles=structural
12
SET implementationfiletype=Ngc
13
SET busformat=BusFormatAngleBracketNotRipped
14
SET designentry=VHDL
15
SET addpads=False
16
SET foundationsym=False
17
SET package=pq208
18
SET vhdlsim=True
19
SET verilogsim=False
20
SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.04.a
21
CSET enable_trigger_output_port=false
22
CSET data_port_width=0
23
CSET match_type_9=basic
24
CSET match_type_8=basic
25
CSET match_type_16=basic
26
CSET match_type_7=basic
27
CSET match_type_15=basic
28
CSET exclude_from_data_storage_16=false
29
CSET match_type_6=basic
30
CSET match_type_14=basic
31
CSET exclude_from_data_storage_15=false
32
CSET match_type_5=basic
33
CSET match_type_13=basic
34
CSET exclude_from_data_storage_14=false
35
CSET match_type_4=basic
36
CSET match_type_12=basic
37
CSET exclude_from_data_storage_13=false
38
CSET match_type_3=basic
39
CSET match_type_11=basic
40
CSET exclude_from_data_storage_12=false
41
CSET match_type_2=basic
42
CSET match_type_10=basic
43
CSET exclude_from_data_storage_11=false
44
CSET match_type_1=basic_with_edges
45
CSET exclude_from_data_storage_10=false
46
CSET use_rpms=true
47
CSET component_name=ila_pro_0
48
CSET data_same_as_trigger=true
49
CSET counter_width_16=Disabled
50
CSET counter_width_15=Disabled
51
CSET counter_width_14=Disabled
52
CSET counter_width_13=Disabled
53
CSET match_units_16=1
54
CSET enable_storage_qualification=true
55
CSET counter_width_12=Disabled
56
CSET match_units_15=1
57
CSET counter_width_11=Disabled
58
CSET match_units_14=1
59
CSET counter_width_10=Disabled
60
CSET match_units_13=1
61
CSET match_units_12=1
62
CSET match_units_11=1
63
CSET match_units_10=1
64
CSET number_of_trigger_ports=1
65
CSET match_units_9=1
66
CSET match_units_8=1
67
CSET match_units_7=1
68
CSET match_units_6=1
69
CSET match_units_5=1
70
CSET match_units_4=1
71
CSET match_units_3=1
72
CSET match_units_2=1
73
CSET match_units_1=1
74
CSET trigger_port_width_16=1
75
CSET trigger_port_width_15=1
76
CSET trigger_port_width_14=1
77
CSET trigger_port_width_13=1
78
CSET trigger_port_width_12=1
79
CSET trigger_port_width_11=1
80
CSET trigger_port_width_10=1
81
CSET exclude_from_data_storage_9=false
82
CSET exclude_from_data_storage_8=false
83
CSET exclude_from_data_storage_7=false
84
CSET trigger_port_width_9=1
85
CSET exclude_from_data_storage_6=false
86
CSET sample_on=Rising
87
CSET exclude_from_data_storage_5=false
88
CSET trigger_port_width_8=1
89
CSET exclude_from_data_storage_4=false
90
CSET trigger_port_width_7=1
91
CSET trigger_port_width_6=1
92
CSET max_sequence_levels=16
93
CSET exclude_from_data_storage_3=false
94
CSET trigger_port_width_5=1
95
CSET exclude_from_data_storage_2=false
96
CSET trigger_port_width_4=1
97
CSET exclude_from_data_storage_1=false
98
CSET sample_data_depth=512
99
CSET trigger_port_width_3=1
100
CSET trigger_port_width_2=1
101
CSET counter_width_9=Disabled
102
CSET trigger_port_width_1=9
103
CSET counter_width_8=Disabled
104
CSET counter_width_7=Disabled
105
CSET counter_width_6=Disabled
106
CSET counter_width_5=Disabled
107
CSET counter_width_4=Disabled
108
CSET counter_width_3=Disabled
109
CSET counter_width_2=Disabled
110
CSET counter_width_1=Disabled
111
GENERATE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.