OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [ipcore_dir/] [mainmem_ste/] [example_design/] [mainmem_top.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wd5gnr
--------------------------------------------------------------------------------
2
--
3
-- BLK MEM GEN v6.2 Core - Top-level core wrapper
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
8
--
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
--
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
--
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
--
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
 
53
--------------------------------------------------------------------------------
54
--
55
-- Filename: bmg_wrapper.vhd
56
--
57
-- Description:
58
--   This is the actual BMG core wrapper.
59
--
60
--------------------------------------------------------------------------------
61
-- Author: IP Solutions Division
62
--
63
-- History: August 31, 2005 - First Release
64
--------------------------------------------------------------------------------
65
--
66
--------------------------------------------------------------------------------
67
-- Library Declarations
68
--------------------------------------------------------------------------------
69
 
70
LIBRARY IEEE;
71
USE IEEE.STD_LOGIC_1164.ALL;
72
USE IEEE.STD_LOGIC_ARITH.ALL;
73
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
74
 
75
LIBRARY UNISIM;
76
USE UNISIM.VCOMPONENTS.ALL;
77
 
78
--------------------------------------------------------------------------------
79
-- Entity Declaration
80
--------------------------------------------------------------------------------
81
ENTITY mainmem_top IS
82
  PORT (
83
      --Inputs - Port A
84
 
85
    WEA            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
86
    ADDRA          : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
87
 
88
    DINA           : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
89
 
90
    DOUTA          : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
91
    CLKA       : IN STD_LOGIC
92
 
93
 
94
  );
95
 
96
END mainmem_top;
97
 
98
 
99
ARCHITECTURE xilinx OF mainmem_top IS
100
 
101
  COMPONENT BUFG IS
102
  PORT (
103
     I      : IN STD_ULOGIC;
104
     O      : OUT STD_ULOGIC
105
  );
106
  END COMPONENT;
107
 
108
  COMPONENT mainmem IS
109
  PORT (
110
      --Port A
111
 
112
    WEA        : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
113
    ADDRA      : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
114
 
115
    DINA       : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
116
 
117
    DOUTA      : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
118
 
119
    CLKA       : IN STD_LOGIC
120
 
121
 
122
 
123
  );
124
  END COMPONENT;
125
 
126
  SIGNAL CLKA_buf     : STD_LOGIC;
127
  SIGNAL CLKB_buf     : STD_LOGIC;
128
  SIGNAL S_ACLK_buf   : STD_LOGIC;
129
 
130
BEGIN
131
 
132
  bufg_A : BUFG
133
    PORT MAP (
134
     I => CLKA,
135
     O => CLKA_buf
136
     );
137
 
138
 
139
 
140
  bmg0 : mainmem
141
    PORT MAP (
142
      --Port A
143
 
144
      WEA        => WEA,
145
      ADDRA      => ADDRA,
146
 
147
      DINA       => DINA,
148
 
149
      DOUTA      => DOUTA,
150
 
151
      CLKA       => CLKA_buf
152
 
153
 
154
    );
155
 
156
END xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.