OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [planAhead_run_1/] [planAhead_run.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wd5gnr
 
2
****** PlanAhead v13.2 (64-bit)
3
  **** Build 131561 by hdbuild on Thu Jun 16 16:53:07 PDT 2011
4
    ** Copyright 1986-1999, 2001-2011 Xilinx, Inc. All Rights Reserved.
5
 
6
INFO: [Common-78] Attempting to get a license: PlanAhead
7
INFO: [Common-82] Got a license: PlanAhead
8
INFO: [Common-86] Your PlanAhead license expires in -418 day(s)
9
INFO: [ArchReader-0] Loading parts and site information from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/arch.xml
10
Parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml]
11
Finished parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml]
12
start_gui
13
starting gui ...
14
source /home/alw/projects/vtachspartan/pa.fromHdl.tcl
15
# create_project -name vtachspartan -dir "/home/alw/projects/vtachspartan/planAhead_run_1" -part xc3s1000ft256-4
16
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml].
17
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml].
18
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml].
19
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml].
20
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml].
21
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml].
22
# set_param project.pinAheadLayout yes
23
# set srcset [get_property srcset [current_run -impl]]
24
# set_property top top $srcset
25
# set_param project.paUcfFile  "vtach.ucf"
26
# add_files [list {ipcore_dir/mainmem.ngc}]
27
# set hdlfile [add_files [list {digitadd.v}]]
28
# set_property file_type Verilog $hdlfile
29
# set_property library work $hdlfile
30
# set hdlfile [add_files [list {bcdincr.v}]]
31
# set_property file_type Verilog $hdlfile
32
# set_property library work $hdlfile
33
# set hdlfile [add_files [list {usum.v}]]
34
# set_property file_type Verilog $hdlfile
35
# set_property library work $hdlfile
36
# set hdlfile [add_files [list {display.v}]]
37
# set_property file_type Verilog $hdlfile
38
# set_property library work $hdlfile
39
# set hdlfile [add_files [list {bcdneg.v}]]
40
# set_property file_type Verilog $hdlfile
41
# set_property library work $hdlfile
42
# set hdlfile [add_files [list {ipcore_dir/mainmem.v}]]
43
# set_property file_type Verilog $hdlfile
44
# set_property library work $hdlfile
45
# set hdlfile [add_files [list {io_output.v}]]
46
# set_property file_type Verilog $hdlfile
47
# set_property library work $hdlfile
48
# set hdlfile [add_files [list {io_input.v}]]
49
# set_property file_type Verilog $hdlfile
50
# set_property library work $hdlfile
51
# set hdlfile [add_files [list {debounce.v}]]
52
# set_property file_type Verilog $hdlfile
53
# set_property library work $hdlfile
54
# set hdlfile [add_files [list {bcdadd.v}]]
55
# set_property file_type Verilog $hdlfile
56
# set_property library work $hdlfile
57
# set hdlfile [add_files [list {memory.v}]]
58
# set_property file_type Verilog $hdlfile
59
# set_property library work $hdlfile
60
# set hdlfile [add_files [list {mainclock.v}]]
61
# set_property file_type Verilog $hdlfile
62
# set_property library work $hdlfile
63
# set hdlfile [add_files [list {alu.v}]]
64
# set_property file_type Verilog $hdlfile
65
# set_property library work $hdlfile
66
# set hdlfile [add_files [list {vtach.v}]]
67
# set_property file_type Verilog $hdlfile
68
# set_property library work $hdlfile
69
# add_files "vtach.ucf" -fileset [get_property constrset [current_run]]
70
# add_files "ipcore_dir/mainmem.ncf" -fileset [get_property constrset [current_run]]
71
# open_rtl_design -part xc3s1000ft256-4
72
INFO: [PlanAhead-58] Using Verific elaboration
73
Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify
74
Parsing package .
75
Analyzing Verilog file "/home/alw/projects/vtachspartan/digitadd.v" into library work
76
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdincr.v" into library work
77
Analyzing Verilog file "/home/alw/projects/vtachspartan/usum.v" into library work
78
Analyzing Verilog file "/home/alw/projects/vtachspartan/display.v" into library work
79
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdneg.v" into library work
80
Analyzing Verilog file "/home/alw/projects/vtachspartan/ipcore_dir/mainmem.v" into library work
81
Analyzing Verilog file "/home/alw/projects/vtachspartan/io_output.v" into library work
82
Analyzing Verilog file "/home/alw/projects/vtachspartan/io_input.v" into library work
83
Analyzing Verilog file "/home/alw/projects/vtachspartan/debounce.v" into library work
84
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdadd.v" into library work
85
Analyzing Verilog file "/home/alw/projects/vtachspartan/memory.v" into library work
86
Analyzing Verilog file "/home/alw/projects/vtachspartan/mainclock.v" into library work
87
Analyzing Verilog file "/home/alw/projects/vtachspartan/alu.v" into library work
88
Analyzing Verilog file "/home/alw/projects/vtachspartan/vtach.v" into library work
89
WARNING: [HDL-1016] Port RST_IN is not connected to this instance [/home/alw/projects/vtachspartan/vtach.v:68]
90
WARNING: [HDL-1499] Empty module  remains a black box. [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.v:39]
91
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 8-bit. [/home/alw/projects/vtachspartan/vtach.v:48]
92
WARNING: [HDL-413] Result of 32-bit expression is truncated to fit in 2-bit target. [/home/alw/projects/vtachspartan/display.v:56]
93
WARNING: [HDL-413] Result of 25-bit expression is truncated to fit in 24-bit target. [/home/alw/projects/vtachspartan/display.v:59]
94
WARNING: [HDL-413] Result of 32-bit expression is truncated to fit in 19-bit target. [/home/alw/projects/vtachspartan/debounce.v:54]
95
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 16-bit. [/home/alw/projects/vtachspartan/bcdneg.v:11]
96
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 12-bit. [/home/alw/projects/vtachspartan/bcdneg.v:19]
97
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 5-bit while actual signal size is 4-bit. [/home/alw/projects/vtachspartan/vtach.v:50]
98
WARNING: [HDL-413] Result of 13-bit expression is truncated to fit in 12-bit target. [/home/alw/projects/vtachspartan/vtach.v:99]
99
WARNING: [HDL-552] Input port RST_IN is not connected on this instance [/home/alw/projects/vtachspartan/vtach.v:68]
100
Removing all analyzed parse trees
101
Release 13.2 - ngc2edif O.61xd (lin64)
102
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
103
 
104
Release 13.2 - ngc2edif O.61xd (lin64)
105
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
106
Reading design mainmem.ngc ...
107
WARNING:NetListWriters:298 - No output is written to mainmem.xncf, ignored.
108
Processing design ...
109
   Preping design's networks ...
110
   Preping design's macros ...
111
  finished :Prep
112
Writing EDIF netlist file mainmem.edif ...
113
ngc2edif: Total memory usage is 78260 kilobytes
114
 
115
Reading core file '/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ngc' for (cell view 'mainmem', library 'work', file 'mainmem.v')
116
Parsing EDIF File [./.Xil-PlanAhead-25392-enterprise/ngc2edif/mainmem.edif]
117
Finished Parsing EDIF File [./.Xil-PlanAhead-25392-enterprise/ngc2edif/mainmem.edif]
118
INFO: [ArchReader-18] Reading macro library /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn
119
Parsing EDIF File [/opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn]
120
Finished Parsing EDIF File [/opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn]
121
INFO: [ArchReader-7] Loading clock regions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ClockRegion.xml
122
INFO: [ArchReader-8] Loading clock buffers from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ClockBuffers.xml
123
INFO: [ArchReader-3] Loading package from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ft256/Package.xml
124
INFO: [ArchReader-4] Loading io standards from /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/IOStandards.xml
125
INFO: [ArchReader-5] Loading pkg sso from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ft256/SSORules.xml
126
INFO: [GDRC-0] Loading list of drcs for the architecture : /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/drc.xml
127
INFO: [LIB-0] Reading timing library /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/spartan3-4.lib .
128
INFO: [LIB-1] Done reading timing library /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/spartan3-4.lib .
129
Parsing UCF File [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ncf]
130
Finished Parsing UCF File [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ncf]
131
Parsing UCF File [/home/alw/projects/vtachspartan/vtach.ucf]
132
Finished Parsing UCF File [/home/alw/projects/vtachspartan/vtach.ucf]
133
INFO: [Project-5] Unisim Transformation Summary:
134
No Unisim elements were transformed.
135
open_rtl_design: Time (s): 7.460u 0.250s 5.930w. Memory (MB): 4461.445p 53.234g
136
startgroup
137
set_property IOSTANDARD {} [get_ports [list {led[7]} {led[6]} {led[5]} {led[4]} {led[3]} {led[2]} {led[1]} {led[0]}]]
138
endgroup
139
set_property SLEW FAST [get_ports [list {led[7]} {led[6]} {led[5]} {led[4]} {led[3]} {led[2]} {led[1]} {led[0]}]]
140
set_property SLEW FAST [get_ports [list {sw[7]} {sw[6]} {sw[5]} {sw[4]} {sw[3]} {sw[2]} {sw[1]} {sw[0]}]]
141
set_property SLEW FAST [get_ports [list ds0]]
142
set_property SLEW FAST [get_ports [list ds1]]
143
set_property SLEW FAST [get_ports [list ds2]]
144
set_property SLEW FAST [get_ports [list ds3]]
145
set_property SLEW FAST [get_ports [list segA]]
146
set_property SLEW FAST [get_ports [list segB]]
147
set_property SLEW FAST [get_ports [list segC]]
148
set_property SLEW FAST [get_ports [list segD]]
149
set_property SLEW FAST [get_ports [list segE]]
150
set_property SLEW FAST [get_ports [list segF]]
151
set_property SLEW FAST [get_ports [list segG]]
152
save_design
153
exit
154
stop_gui
155
INFO: [PlanAhead-261] Exiting PlanAhead...
156
INFO: [Common-83] Releasing license: PlanAhead

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.