OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [rtl/] [gray2bin.sv] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alzhang
module gray2bin (
2
// *************************** Ports ********************************
3
     gray ,
4
      bin
5
 );
6
// ************************ Parameters ******************************
7
  parameter DATA_W   = 32  ;
8
 
9
// ********************** Inputs/Outputs ****************************
10
  input wire  [DATA_W-1:0] gray ;
11
  output wire [DATA_W-1:0] bin  ;
12
 
13
  genvar                   i ;
14
 
15
  generate
16
       for (i=0; i
17
          assign bin[i] = ^(gray >> i);
18
       end
19
  endgenerate
20
 
21
endmodule // gray2bin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.