OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [verif/] [env.csh] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alzhang
setenv PROJ_TOP /proj/msbgbe0/wa/alzhang/proj/wb2axi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.