OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [verif/] [tb.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alzhang
`include "wb2axi_parameters.vh"
2
module tb;
3
 
4
reg axi_clk;
5
reg wb_clk;
6
reg resetn;
7
 
8
axi_if #(
9
  .AXI_ID_W      (`WB2AXI_AXI_ID_W    ),
10
  .AXI_ADDR_W    (`WB2AXI_AXI_ADDR_W  ),
11
  .AXI_DATA_W    (`WB2AXI_AXI_DATA_W  ),
12
  .AXI_PROT_W    (`WB2AXI_AXI_PROT_W  ),
13
  .AXI_STB_W     (`WB2AXI_AXI_STB_W   ),
14
  .AXI_LEN_W     (`WB2AXI_AXI_LEN_W   ),
15
  .AXI_SIZE_W    (`WB2AXI_AXI_SIZE_W  ),
16
  .AXI_BURST_W   (`WB2AXI_AXI_BURST_W ),
17
  .AXI_LOCK_W    (`WB2AXI_AXI_LOCK_W  ),
18
  .AXI_CACHE_W   (`WB2AXI_AXI_CACHE_W ),
19
  .AXI_RESP_W    (`WB2AXI_AXI_RESP_W  )
20
) axi_if_m();
21
 
22
wishbone_if #(
23
  .WB_ADR_WIDTH(`WB2AXI_WB_ADR_W) ,
24
  .WB_BTE_WIDTH(`WB2AXI_WB_BTE_W) ,
25
  .WB_CTI_WIDTH(`WB2AXI_WB_CTI_W) ,
26
  .WB_DAT_WIDTH(`WB2AXI_WB_DAT_W) ,
27
  .WB_TGA_WIDTH(`WB2AXI_WB_TGA_W) ,
28
  .WB_TGD_WIDTH(`WB2AXI_WB_TGD_W) ,
29
  .WB_TGC_WIDTH(`WB2AXI_WB_TGC_W) ,
30
  .WB_SEL_WIDTH(`WB2AXI_WB_SEL_W)
31
) wb_if_s();
32
 
33
assign wb_if_s.ACK = 1'b1;
34
 
35
axi_master_model# (
36
  .AXI_ID_W      (`WB2AXI_AXI_ID_W    ),
37
  .AXI_ADDR_W    (`WB2AXI_AXI_ADDR_W  ),
38
  .AXI_DATA_W    (`WB2AXI_AXI_DATA_W  ),
39
  .AXI_PROT_W    (`WB2AXI_AXI_PROT_W  ),
40
  .AXI_STB_W     (`WB2AXI_AXI_STB_W   ),
41
  .AXI_LEN_W     (`WB2AXI_AXI_LEN_W   ),
42
  .AXI_SIZE_W    (`WB2AXI_AXI_SIZE_W  ),
43
  .AXI_BURST_W   (`WB2AXI_AXI_BURST_W ),
44
  .AXI_LOCK_W    (`WB2AXI_AXI_LOCK_W  ),
45
  .AXI_CACHE_W   (`WB2AXI_AXI_CACHE_W ),
46
  .AXI_RESP_W    (`WB2AXI_AXI_RESP_W  )
47
)I_AXIM (
48
  .axi_clk       (axi_clk         ),
49
  .axi_resetn    (resetn          ),
50
  .AWID          (axi_if_m.AWID   ),
51
  .AWADDR        (axi_if_m.AWADDR ),
52
  .AWLEN         (axi_if_m.AWLEN  ),
53
  .AWSIZE        (axi_if_m.AWSIZE ),
54
  .AWBURST       (axi_if_m.AWBURST),
55
  .AWLOCK        (axi_if_m.AWLOCK ),
56
  .AWCACHE       (axi_if_m.AWCACHE),
57
  .AWPROT        (axi_if_m.AWPROT ),
58
  .AWVALID       (axi_if_m.AWVALID),
59
  .AWREADY       (axi_if_m.AWREADY),
60
  .WID           (axi_if_m.WID    ),
61
  .WDATA         (axi_if_m.WDATA  ),
62
  .WSTRB         (axi_if_m.WSTRB  ),
63
  .WLAST         (axi_if_m.WLAST  ),
64
  .WVALID        (axi_if_m.WVALID ),
65
  .WREADY        (axi_if_m.WREADY ),
66
  .BID           (axi_if_m.BID    ),
67
  .BRESP         (axi_if_m.BRESP  ),
68
  .BVALID        (axi_if_m.BVALID ),
69
  .BREADY        (axi_if_m.BREADY ),
70
  .ARID          (axi_if_m.ARID   ),
71
  .ARADDR        (axi_if_m.ARADDR ),
72
  .ARLEN         (axi_if_m.ARLEN  ),
73
  .ARSIZE        (axi_if_m.ARSIZE ),
74
  .ARBURST       (axi_if_m.ARBURST),
75
  .ARLOCK        (axi_if_m.ARLOCK ),
76
  .ARCACHE       (axi_if_m.ARCACHE),
77
  .ARPROT        (axi_if_m.ARPROT ),
78
  .ARVALID       (axi_if_m.ARVALID),
79
  .ARREADY       (axi_if_m.ARREADY),
80
  .RID           (axi_if_m.RID    ),
81
  .RDATA         (axi_if_m.RDATA  ),
82
  .RRESP         (axi_if_m.RRESP  ),
83
  .RLAST         (axi_if_m.RLAST  ),
84
  .RVALID        (axi_if_m.RVALID ),
85
  .RREADY        (axi_if_m.RREADY )
86
);
87
 
88
 
89
axi2wb #(
90
  .AXI_ID_W         (`WB2AXI_AXI_ID_W         ),
91
  .AXI_ADDR_W       (`WB2AXI_AXI_ADDR_W       ),
92
  .AXI_DATA_W       (`WB2AXI_AXI_DATA_W       ),
93
  .AXI_PROT_W       (`WB2AXI_AXI_PROT_W       ),
94
  .AXI_STB_W        (`WB2AXI_AXI_STB_W        ),
95
  .AXI_LEN_W        (`WB2AXI_AXI_LEN_W        ),
96
  .AXI_SIZE_W       (`WB2AXI_AXI_SIZE_W       ),
97
  .AXI_BURST_W      (`WB2AXI_AXI_BURST_W      ),
98
  .AXI_LOCK_W       (`WB2AXI_AXI_LOCK_W       ),
99
  .AXI_CACHE_W      (`WB2AXI_AXI_CACHE_W      ),
100
  .AXI_RESP_W       (`WB2AXI_AXI_RESP_W       ),
101
  .FIFO_ADDR_DEPTH_W(`WB2AXI_FIFO_ADDR_DEPTH_W),
102
  .FIFO_DATA_DEPTH_W(`WB2AXI_FIFO_DATA_DEPTH_W),
103
  .WB_ADR_W         (`WB2AXI_WB_ADR_W         ),
104
  .WB_DAT_W         (`WB2AXI_WB_DAT_W         ),
105
  .WB_TGA_W         (`WB2AXI_WB_TGA_W         ),
106
  .WB_TGD_W         (`WB2AXI_WB_TGD_W         ),
107
  .WB_TGC_W         (`WB2AXI_WB_TGC_W         ),
108
  .WB_SEL_W         (`WB2AXI_WB_SEL_W         ),
109
  .WB_CTI_W         (`WB2AXI_WB_CTI_W         ),
110
  .WB_BTE_W         (`WB2AXI_WB_BTE_W         )
111
) I_AXI2WB (
112
  .axi_clk   (axi_clk ),
113
  .wb_clk    (wb_clk  ),
114
  .axi_resetn(resetn  ),
115
  .wb_resetn (resetn  ),
116
  .ENABLE    (1'b1    ),
117
  .AXI_IF    (axi_if_m),
118
  .WB_TX_IF  (wb_if_s )
119
);
120
 
121
initial begin
122
  wb_clk = 1'b1;
123
  axi_clk= 1'b1;
124
  resetn = 1'b1;
125
  #10;
126
  resetn = 1'b0;
127
  #100;
128
  $display ("Resetn is done");
129
  resetn = 1'b1;
130
  #20000;
131
  $display ("Simulation is done");
132
  $finish;
133
end
134
always begin
135
  #2;
136
  axi_clk = ~axi_clk;
137
end
138
 
139
always begin
140
  #5 wb_clk = ~wb_clk;
141
end
142
 
143
initial begin
144
    $fsdbDumpfile("./test_wb2axi.fsdb");
145
    $fsdbDumpvars(0, tb);
146
end
147
 
148
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.