OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [verif/] [wb2axi_parameters.vh] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 alzhang
`define WB2AXI_AXI_ID_W           4
2
`define WB2AXI_AXI_ADDR_W         32
3
`define WB2AXI_AXI_DATA_W         32
4
`define WB2AXI_AXI_PROT_W         3
5
`define WB2AXI_AXI_STB_W          4
6
`define WB2AXI_AXI_LEN_W          4
7
`define WB2AXI_AXI_SIZE_W         3
8
`define WB2AXI_AXI_BURST_W        2
9
`define WB2AXI_AXI_LOCK_W         2
10
`define WB2AXI_AXI_CACHE_W        4
11
`define WB2AXI_AXI_RESP_W         2
12
 
13
`define WB2AXI_FIFO_ADDR_DEPTH_W  10
14
`define WB2AXI_FIFO_ADDR_W        64
15
`define WB2AXI_FIFO_DATA_DEPTH_W  11
16
`define WB2AXI_FIFO_DATA_W        64
17
`define WB2AXI_WB_ADR_W           32
18
`define WB2AXI_WB_DAT_W           32
19
`define WB2AXI_WB_TGA_W           8
20
`define WB2AXI_WB_TGD_W           8
21
`define WB2AXI_WB_TGC_W           4
22
`define WB2AXI_WB_SEL_W           4
23
`define WB2AXI_WB_CTI_W           3
24
`define WB2AXI_WB_BTE_W           2
25
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.