OpenCores
URL https://opencores.org/ocsvn/wb_async_mem_bridge/wb_async_mem_bridge/trunk

Subversion Repositories wb_async_mem_bridge

[/] [wb_async_mem_bridge/] [trunk/] [sim/] [tests/] [debug/] [tb_top.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 qaztronic
// --------------------------------------------------------------------
2
//
3
// --------------------------------------------------------------------
4
 
5
`timescale 1ns/10ps
6
 
7
 
8
module tb_top();
9
 
10
  parameter CLK_PERIOD = 10;
11
 
12
  reg tb_clk, tb_rst;
13
 
14
  initial
15
    begin
16
      tb_clk <= 1'b1;
17
      tb_rst <= 1'b1;
18
 
19
      #(CLK_PERIOD); #(CLK_PERIOD/3);
20
      tb_rst = 1'b0;
21
 
22
    end
23
 
24
  always
25
    #(CLK_PERIOD/2) tb_clk = ~tb_clk;
26
 
27
// --------------------------------------------------------------------
28
// tb_dut
29
  tb_dut dut( tb_clk, tb_rst );
30
 
31
 
32
// --------------------------------------------------------------------
33
// insert test below
34
 
35
  initial
36
    begin
37
 
38
      wait( ~tb_rst );
39
 
40
      repeat(2) @(posedge tb_clk);
41
 
42
      //     
43
      $display("\n^^^- \n");
44
 
45
 
46
      dut.async_mem.async_mem_write( 32'h83000000, 32'habbabeef, 4'b0000 );
47
      repeat(10) @(posedge tb_clk);
48
 
49
      dut.async_mem.async_mem_cmp( 32'h83000000, 32'habbabeef, 4'b0000 );
50
      repeat(10) @(posedge tb_clk);
51
 
52
      dut.async_mem.async_mem_3x_write( 32'h83000000, 32'habbabeef, 32'h55555555, 32'haaaaaaaa, 4'b0000 );
53
      repeat(10) @(posedge tb_clk);
54
 
55
      dut.async_mem.async_mem_3x_cmp( 32'h83000000, 32'habbabeef, 32'h55555555, 32'haaaaaaaa, 4'b0000 );
56
      repeat(10) @(posedge tb_clk);
57
 
58
 
59
      $display("\n^^^---------------------------------\n");
60
      $display("^^^- Testbench done. %t.\n", $time);
61
 
62
      $stop();
63
 
64
    end
65
 
66
endmodule
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.