OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk
module tb_lcd; reg clk; reg reset; reg [31:0] dat; reg [6:0] addr; reg we; wire busy; wire [3:0] SF_D; wire LCD_E; wire LCD_RS; wire LCD_RW; initial begin $from_myhdl( clk, reset, dat, addr, we ); $to_myhdl( busy, SF_D, LCD_E, LCD_RS, LCD_RW ); end lcd dut( clk, reset, dat, addr, we, busy, SF_D, LCD_E, LCD_RS, LCD_RW ); endmodule

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [myhdl/] [wb_lcd_workspace_ramless/] [workspace/] [lcd_display/] [src/] [tb_lcd.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.