OpenCores
URL https://opencores.org/ocsvn/wb_tk/wb_tk/trunk

Subversion Repositories wb_tk

[/] [wb_tk/] [trunk/] [wb_async_master.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 tantos
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5 4 tantos
 
6 6 tantos
entity wb_async_master is
7
    generic (
8
        dat_width: positive := 16;
9
        adr_width: positive := 20;
10
        ab_rd_delay: positive := 1
11
    );
12
    port (
13
        wb_clk_i: in std_logic;
14
        wb_rst_i: in std_logic := '0';
15 4 tantos
 
16 6 tantos
        -- interface to wb slave devices
17
        wb_adr_o: out std_logic_vector (adr_width-1 downto 0);
18
        wb_sel_o: out std_logic_vector ((dat_width/8)-1 downto 0);
19
        wb_dat_i: in std_logic_vector (dat_width-1 downto 0);
20
        wb_dat_o: out std_logic_vector (dat_width-1 downto 0);
21
        wb_cyc_o: out std_logic;
22
        wb_ack_i: in std_logic;
23
        wb_err_i: in std_logic := '-';
24
        wb_rty_i: in std_logic := '-';
25
        wb_we_o: out std_logic;
26
        wb_stb_o: out std_logic;
27 4 tantos
 
28 6 tantos
        -- interface to the asyncronous master device
29
        ab_dat: inout std_logic_vector (dat_width-1 downto 0) := (others => 'Z');
30
        ab_adr: in std_logic_vector (adr_width-1 downto 0) := (others => 'U');
31
        ab_rd_n: in std_logic := '1';
32
        ab_wr_n: in std_logic := '1';
33
        ab_ce_n: in std_logic := '1';
34
        ab_byteen_n: in std_logic_vector ((dat_width/8)-1 downto 0);
35
        ab_wait_n: out std_logic; -- wait-state request 'open-drain' output
36
        ab_waiths: out std_logic  -- handshake-type totem-pole output
37 4 tantos
 
38 6 tantos
    );
39 4 tantos
end wb_async_master;
40
 
41 6 tantos
architecture xilinx of wb_async_master is
42
    constant ab_wr_delay: positive := 2;
43
    -- delay lines for rd/wr edge detection
44
    signal rd_delay_rst: std_logic;
45
    signal rd_delay: std_logic_vector(ab_rd_delay downto 0);
46
    signal wr_delay: std_logic_vector(ab_wr_delay downto 0);
47
    -- one-cycle long pulses upon rd/wr edges
48
    signal ab_wr_pulse: std_logic;
49
    signal ab_rd_pulse: std_logic;
50
    -- one-cycle long pulse to latch address for writes
51
    signal ab_wr_latch_pulse: std_logic;
52
    -- WB data input register
53
    signal wb_dat_reg: std_logic_vector (dat_width-1 downto 0);
54
    -- internal copies of WB signals for feedback
55
    signal wb_cyc_l: std_logic;
56
    signal wb_we_l: std_logic;
57
    -- Comb. logic for active cycles
58
    signal ab_rd: std_logic;
59
    signal ab_wr: std_logic;
60
    signal ab_active: std_logic;
61
    -- internal copies of wait signals for feedback
62
    signal ab_wait_n_rst: std_logic;
63
    signal ab_wait_n_l: std_logic;
64
    signal ab_waiths_l: std_logic;
65
    signal ab_wait_n_l_delayed: std_logic;
66
    signal ab_waiths_l_delayed: std_logic;
67
    -- active when WB slave terminates the cycle (for any reason)
68
    signal wb_ack: std_logic;
69
    -- signals a scheduled or commencing posted write
70
    signal write_in_progress: std_logic;
71 4 tantos
begin
72 6 tantos
    ab_rd <= (not ab_ce_n) and (not ab_rd_n) and ab_wr_n;
73
    ab_wr <= (not ab_ce_n) and (not ab_wr_n) and ab_rd_n;
74
    ab_active <= not ab_ce_n;
75 4 tantos
 
76 6 tantos
    wb_ack <= wb_cyc_l and (wb_ack_i or wb_err_i or wb_rty_i);
77 4 tantos
 
78 6 tantos
    write_in_progress_gen: process
79
    begin
80
        if (wb_rst_i = '1') then
81
            write_in_progress <= '0';
82
        end if;
83
        wait until wb_clk_i'EVENT and wb_clk_i = '1';
84
        if ab_wr = '0' and wr_delay(wr_delay'HIGH) = '1' then
85
            write_in_progress <= '1';
86
        end if;
87
        if wb_ack = '1' then
88
            write_in_progress <= '0';
89
        end if;
90
    end process;
91 4 tantos
 
92 6 tantos
    -- Registers addr/data lines.
93
    reg_bus_lines: process
94
    begin
95
        if (wb_rst_i = '1') then
96
            wb_adr_o <= (others => '-');
97
            wb_sel_o <= (others => '-');
98
            wb_dat_o <= (others => '-');
99
            wb_dat_reg <= (others => '0');
100
        end if;
101
        wait until wb_clk_i'EVENT and wb_clk_i = '1';
102
        -- Store and sycnronize data and address lines if no (posted) write
103
        -- is in progress and there is an active asyncronous bus cycle.
104
        -- We store addresses for reads at the same time we sample the data so setup and hold
105
        -- times are the same.
106
        if (ab_wr = '1' or ab_rd_pulse = '1') and (write_in_progress = '0' or wb_ack = '1') then
107
            wb_adr_o <= ab_adr;
108
            for i in wb_sel_o'RANGE loop
109
                wb_sel_o(i) <= not ab_byteen_n(i);
110
            end loop;
111
        end if;
112
        if (ab_wr = '1') and (write_in_progress = '0' or wb_ack = '1') then
113
            wb_dat_o <= ab_dat;
114
        end if;
115 4 tantos
 
116 6 tantos
        -- en-register data input at the end of a read cycle
117
        if wb_ack = '1' then
118
            if wb_we_l = '0' then
119
                -- read cycle completed, store the result
120
                wb_dat_reg <= wb_dat_i;
121
            end if;
122
        end if;
123
    end process;
124
 
125
    -- Registers asycn bus control lines for sync edge detection.
126
    async_bus_wr_ctrl : process(wb_rst_i,wb_clk_i)
127
    begin
128
        if (wb_rst_i = '1') then
129
            wr_delay <= (others => '0');
130
--      end if;
131
        -- Post-layout simulation shows glitches on the output that violates setup times. 
132
        -- Clock on the other edge to solve this issue
133
--      elsif wb_clk_i'EVENT and wb_clk_i = '1' then
134
        elsif wb_clk_i'EVENT and wb_clk_i = '0' then
135
--      wait until wb_clk_i'EVENT and wb_clk_i = '1';
136
--      wait until wb_clk_i'EVENT and wb_clk_i = '0';
137
            -- delayed signals will be used in edge-detection
138
            for i in wr_delay'HIGH downto 1 loop
139
                wr_delay(i) <= wr_delay(i-1);-- and ab_rd;
140
            end loop;
141
            wr_delay(0) <= ab_wr;
142
        end if;
143
    end process;
144
 
145
    rd_delay_rst <= wb_rst_i or not ab_rd;
146
    async_bus_rd_ctrl : process(rd_delay_rst,wb_clk_i)
147
    begin
148
        if (rd_delay_rst = '1') then
149
            rd_delay <= (others => '0');
150
        -- Post-layout simulation shows glitches on the output that violates setup times. 
151
        -- Clock on the other edge to solve this issue
152
--      elsif wb_clk_i'EVENT and wb_clk_i = '1' then
153
        elsif wb_clk_i'EVENT and wb_clk_i = '0' then
154
            -- a sync-reset shift-register to delay read signal
155
            for i in rd_delay'HIGH downto 1 loop
156
                rd_delay(i) <= rd_delay(i-1) and ab_rd;
157
            end loop;
158
            if (wb_cyc_l = '1') then
159
                rd_delay(0) <= rd_delay(0);
160
            else
161
                rd_delay(0) <= ab_rd and not write_in_progress;
162
            end if;
163
        end if;
164
    end process;
165
    -- will be one for one cycle at the proper end of the async cycle
166
    ab_wr_pulse       <=     wr_delay(wr_delay'HIGH) and not wr_delay(wr_delay'HIGH-1);
167
    ab_wr_latch_pulse <= not wr_delay(wr_delay'HIGH) and     wr_delay(wr_delay'HIGH-1);
168
    ab_rd_pulse       <= not rd_delay(rd_delay'HIGH) and     rd_delay(rd_delay'HIGH-1);
169
 
170
    -- Generates WishBone control signals
171
    wb_ctrl_gen: process
172
    begin
173
        if (wb_rst_i = '1') then
174
            wb_stb_o <= '0';
175
            wb_cyc_l <= '0';
176
            wb_we_l <= '0';
177
        end if;
178
        wait until wb_clk_i'EVENT and wb_clk_i = '1';
179
--        if wb_ack = '1' then
180
        if wb_ack = '1' and ab_wr_pulse = '0' and ab_rd_pulse = '0' then
181
            wb_stb_o <= '0';
182
            wb_cyc_l <= '0';
183
            wb_we_l <= '0';
184
        end if;
185
 
186
        if ab_wr_pulse = '1' or ab_rd_pulse = '1' then
187
            wb_stb_o <= '1';
188
            wb_cyc_l <= '1';
189
            wb_we_l <= ab_wr_pulse;
190
        end if;
191
    end process;
192
 
193
    -- Generate asyncronous wait signal
194
    ab_wait_n_rst <= wb_rst_i or not ab_active;
195
    a_wait_n_gen: process(ab_wait_n_rst, wb_clk_i)
196
    begin
197
        if (ab_wait_n_rst = '1') then
198
            ab_wait_n_l <= '1';
199
        elsif wb_clk_i'EVENT and wb_clk_i = '1' then
200
            -- At the beginning of a read cycle, move wait low
201
            if ab_wait_n_l = '1' and ab_rd = '1' and rd_delay(0) = '0' then
202
                ab_wait_n_l <= '0';
203
            end if;
204
            -- At the beginning of any cycle, if the ss-master part is busy, wait
205
            if (ab_wait_n_l = '1' and (ab_rd = '1' or ab_wr = '1')) and
206
               (wb_cyc_l = '1')
207
            then
208
                ab_wait_n_l <= '0';
209
            end if;
210
            -- At the end of an ss-master cycle, remove wait
211
            if wb_ack = '1' and (
212
               (wb_we_l = '1' and ab_rd = '0') or -- no pending read
213
               wb_we_l = '0') -- was a read operation
214
            then
215
                ab_wait_n_l <= '1';
216
            end if;
217
        end if;
218
    end process;
219
 
220
    -- Generate handshake-type wait signal
221
    a_waiths_gen: process(wb_rst_i,wb_clk_i)
222
    begin
223
        if (wb_rst_i = '1') then
224
            ab_waiths_l <= '0';
225
        elsif wb_clk_i'EVENT and wb_clk_i = '1' then
226
            -- Write handling
227
            if wb_cyc_l = '0' and ab_wr = '1' then
228
                ab_waiths_l <= '1';
229
            end if;
230
            if wb_ack = '1' and ab_waiths_l = '1' then
231
                ab_waiths_l <= '0';
232
            end if;
233
 
234
            -- Read handling
235
            if wb_ack = '1' and ab_rd = '1' then
236
                ab_waiths_l <= '1';
237
            end if;
238
 
239
            if wb_cyc_l = '0' and ab_rd = '0' and ab_wr = '0' and wr_delay(wr_delay'HIGH) = '0'
240
            then
241
                ab_waiths_l <= '0';
242
            end if;
243
        end if;
244
    end process;
245
 
246
    -- connect local signals to external pins
247
    wb_cyc_o <= wb_cyc_l;
248
    wb_we_o <= wb_we_l;
249
--  ab_wait_n <= '0' when ab_wait_n_l = '0' else '1';
250
    ab_dat <= wb_dat_reg when ab_rd = '1' else (others => 'Z');
251
 
252
    -- On post-layout simulation it turned out that the data is not stable upon
253
    -- the raising edge of these wait signals. So we delay the raising edge with one-half clock
254
    delay_wait: process(wb_clk_i)
255
    begin
256
        if wb_clk_i'EVENT and wb_clk_i = '0' then
257
            ab_wait_n_l_delayed <= ab_wait_n_l;
258
            ab_waiths_l_delayed <= ab_waiths_l;
259
        end if;
260
    end process;
261
    ab_wait_n <= ab_wait_n_l and ab_wait_n_l_delayed;
262
    ab_waiths <= ab_waiths_l and ab_waiths_l_delayed;
263
 
264
--  ab_dat_gen: process(wb_clk_i,wb_rst_i)
265
--  begin
266
--        if (wb_rst_i = '1') then
267
--            ab_dat <= (others => 'Z');
268
--        elsif wb_clk_i'EVENT and wb_clk_i = '1' then
269
--          if (ab_rd = '1') then
270
--              ab_dat <= wb_dat_reg;
271
--          else
272
--              ab_dat <= (others => 'Z');
273
--          end if;
274
--      end if;
275
--  end process;
276
 
277
end xilinx;
278
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.