OpenCores
URL https://opencores.org/ocsvn/wb_tk/wb_tk/trunk

Subversion Repositories wb_tk

[/] [wb_tk/] [trunk/] [wb_bus_upsize.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 tantos
--
2
--  Wishbone bus toolkit.
3
--
4
--  (c) Copyright Andras Tantos <andras_tantos@yahoo.com> 2001/03/31
5
--  This code is distributed under the terms and conditions of the GNU General Public Lince.
6
--
7
--
8
-- ELEMENTS:
9
--   wb_bus_upsize: bus upsizer.
10
 
11
-------------------------------------------------------------------------------
12
--
13
--  wb_bus_upsize
14
--
15
-------------------------------------------------------------------------------
16
 
17
library IEEE;
18
use IEEE.std_logic_1164.all;
19
 
20
library wb_tk;
21
use wb_tk.technology.all;
22
 
23
entity wb_bus_upsize is
24
        generic (
25 6 tantos
                m_dat_width: positive := 8; -- master bus width
26
                m_adr_width: positive := 21; -- master bus width
27
                s_dat_width: positive := 16; -- slave bus width
28
                s_adr_width: positive := 20; -- master bus width
29 4 tantos
                little_endien: boolean := true -- if set to false, big endien
30
        );
31
        port (
32
--              clk_i: in std_logic;
33
--              rst_i: in std_logic := '0';
34
 
35
                -- Master bus interface
36 6 tantos
                m_adr_i: in std_logic_vector (m_adr_width-1 downto 0);
37
                m_sel_i: in std_logic_vector ((m_dat_width/8)-1 downto 0) := (others => '1');
38
                m_dat_i: in std_logic_vector (m_dat_width-1 downto 0);
39
                m_dat_oi: in std_logic_vector (m_dat_width-1 downto 0) := (others => '-');
40
                m_dat_o: out std_logic_vector (m_dat_width-1 downto 0);
41 4 tantos
                m_cyc_i: in std_logic;
42
                m_ack_o: out std_logic;
43
                m_ack_oi: in std_logic := '-';
44
                m_err_o: out std_logic;
45
                m_err_oi: in std_logic := '-';
46
                m_rty_o: out std_logic;
47
                m_rty_oi: in std_logic := '-';
48
                m_we_i: in std_logic;
49
                m_stb_i: in std_logic;
50
 
51
                -- Slave bus interface
52 6 tantos
                s_adr_o: out std_logic_vector (s_adr_width-1 downto 0);
53
                s_sel_o: out std_logic_vector ((s_dat_width/8)-1 downto 0);
54
                s_dat_i: in std_logic_vector (s_dat_width-1 downto 0);
55
                s_dat_o: out std_logic_vector (s_dat_width-1 downto 0);
56 4 tantos
                s_cyc_o: out std_logic;
57
                s_ack_i: in std_logic;
58
                s_err_i: in std_logic := '-';
59
                s_rty_i: in std_logic := '-';
60
                s_we_o: out std_logic;
61
                s_stb_o: out std_logic
62
        );
63
end wb_bus_upsize;
64
 
65
architecture wb_bus_upsize of wb_bus_upsize is
66 6 tantos
        constant addr_diff: integer := log2(s_dat_width/m_dat_width);
67
        signal i_m_dat_o: std_logic_vector(m_dat_width-1 downto 0);
68 4 tantos
begin
69 6 tantos
        assert (m_adr_width = s_adr_width+addr_diff) report "Address widths are not consistent" severity FAILURE;
70
        s_adr_o <= m_adr_i(m_adr_width-addr_diff downto addr_diff);
71 4 tantos
        s_we_o <= m_we_i;
72
        m_ack_o <= (m_stb_i and s_ack_i) or (not m_stb_i and m_ack_oi);
73
        m_err_o <= (m_stb_i and s_err_i) or (not m_stb_i and m_err_oi);
74
        m_rty_o <= (m_stb_i and s_rty_i) or (not m_stb_i and m_rty_oi);
75
        s_stb_o <= m_stb_i;
76
        s_cyc_o <= m_cyc_i;
77
 
78 6 tantos
 
79
        sel_dat_mux: process
80 4 tantos
        begin
81
                wait on s_dat_i, m_adr_i;
82
                if (little_endien) then
83
                        for i in s_sel_o'RANGE loop
84
                                if (equ(m_adr_i(addr_diff-1 downto 0),i)) then
85
                                        s_sel_o(i) <= '1';
86
                                        i_m_dat_o <= s_dat_i(8*i+7 downto 8*i+0);
87
                                else
88
                                        s_sel_o(i) <= '0';
89
                                end if;
90
                        end loop;
91
                else
92
                        for i in s_sel_o'RANGE loop
93
                                if (equ(m_adr_i(addr_diff-1 downto 0),i)) then
94
                                        s_sel_o(s_sel_o'HIGH-i) <= '1';
95
                                        i_m_dat_o <= s_dat_i(s_dat_i'HIGH-8*i downto s_dat_i'HIGH-8*i-7);
96
                                else
97
                                        s_sel_o(s_sel_o'HIGH-i) <= '0';
98
                                end if;
99
                        end loop;
100
                end if;
101
        end process;
102
 
103
        d_i_for: for i in m_dat_o'RANGE generate
104 6 tantos
                m_dat_o(i) <= (m_stb_i and i_m_dat_o(i)) or (not m_stb_i and m_dat_oi(i));
105 4 tantos
        end generate;
106
 
107
        d_o_for: for i in s_sel_o'RANGE generate
108
                s_dat_o(8*i+7 downto 8*i+0) <= m_dat_i;
109
        end generate;
110
end wb_bus_upsize;
111
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.