OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [rtl/] [wbddrsdram.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    wbddrsdram.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
 
39
// Possible commands to the DDR3 memory.  These consist of settings for the
40
// bits: o_wb_cs_n, o_wb_ras_n, o_wb_cas_n, and o_wb_we_n, respectively.
41
`define DDR_MRSET       4'b0000
42
`define DDR_REFRESH     4'b0001
43
`define DDR_PRECHARGE   4'b0010
44
`define DDR_ACTIVATE    4'b0011
45
`define DDR_WRITE       4'b0100
46
`define DDR_READ        4'b0101
47 4 dgisselq
`define DDR_ZQS         4'b0110
48 2 dgisselq
`define DDR_NOOP        4'b0111
49
//`define       DDR_DESELECT    4'b1???
50
//
51
// In this controller, 24-bit commands tend to be passed around.  These 
52
// 'commands' are bit fields.  Here we specify the bits associated with
53
// the bit fields.
54 5 dgisselq
`define DDR_RSTDONE     24      // End the reset sequence?
55
`define DDR_RSTTIMER    23      // Does this reset command take multiple clocks?
56
`define DDR_RSTBIT      22      // Value to place on reset_n
57
`define DDR_CKEBIT      21      // Should this reset command set CKE?
58 7 dgisselq
//
59
// Refresh command bit fields
60
`define DDR_NEEDREFRESH 23
61
`define DDR_RFTIMER     22
62
`define DDR_RFBEGIN     21
63
//
64 5 dgisselq
`define DDR_CMDLEN      21
65
`define DDR_CSBIT       20
66
`define DDR_RASBIT      19
67
`define DDR_CASBIT      18
68
`define DDR_WEBIT       17
69
`define DDR_NOPTIMER    16      // Steal this from BA bits
70 2 dgisselq
`define DDR_BABITS      3       // BABITS are really from 18:16, they are 3 bits
71 3 dgisselq
`define DDR_ADDR_BITS   14
72 7 dgisselq
//
73
`define BUSREG  7
74
`define BUSNOW  8
75 2 dgisselq
 
76 3 dgisselq
module  wbddrsdram(i_clk, i_reset,
77 2 dgisselq
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
78 3 dgisselq
                        o_wb_ack, o_wb_stall, o_wb_data,
79 2 dgisselq
                o_ddr_reset_n, o_ddr_cke,
80
                o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n, o_ddr_we_n,
81 4 dgisselq
                o_ddr_dqs, o_ddr_dm, o_ddr_odt, o_ddr_bus_oe,
82
                o_ddr_addr, o_ddr_ba, o_ddr_data, i_ddr_data,
83
                o_cmd_accepted);
84 3 dgisselq
        parameter       CKREFI4 = 13'd6240, // 4 * 7.8us at 200 MHz clock
85 7 dgisselq
                        CKRFC = 320,
86 4 dgisselq
                        CKXPR = CKRFC+5+2; // Clocks per tXPR timeout
87 3 dgisselq
        input                   i_clk, i_reset;
88 2 dgisselq
        // Wishbone inputs
89
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
90
        input           [25:0]   i_wb_addr;
91
        input           [31:0]   i_wb_data;
92
        // Wishbone outputs
93
        output  reg             o_wb_ack;
94
        output  reg             o_wb_stall;
95
        output  reg     [31:0]   o_wb_data;
96
        // DDR3 RAM Controller
97 3 dgisselq
        output  wire            o_ddr_reset_n, o_ddr_cke;
98 2 dgisselq
        // Control outputs
99
        output  reg             o_ddr_cs_n, o_ddr_ras_n, o_ddr_cas_n,o_ddr_we_n;
100
        // DQS outputs:set to 3'b010 when data is active, 3'b100 (i.e. 2'bzz) ow
101 3 dgisselq
        output  wire            o_ddr_dqs;
102 4 dgisselq
        output  reg             o_ddr_dm, o_ddr_odt, o_ddr_bus_oe;
103 2 dgisselq
        // Address outputs
104
        output  reg     [13:0]   o_ddr_addr;
105
        output  reg     [2:0]    o_ddr_ba;
106
        // And the data inputs and outputs
107
        output  reg     [31:0]   o_ddr_data;
108 7 dgisselq
        input           [31:0]   i_ddr_data;
109 4 dgisselq
        // And just for the test bench
110
        output  reg             o_cmd_accepted;
111 2 dgisselq
 
112 4 dgisselq
        always @(posedge i_clk)
113
                o_cmd_accepted <= (i_wb_stb)&&(~o_wb_stall);
114
 
115 3 dgisselq
        reg             drive_dqs;
116
 
117
        // The pending transaction
118
        reg     [31:0]   r_data;
119
        reg             r_pending, r_we;
120
        reg     [25:0]   r_addr;
121 5 dgisselq
        reg     [13:0]   r_row;
122 3 dgisselq
        reg     [2:0]    r_bank;
123
        reg     [9:0]    r_col;
124
        reg     [1:0]    r_sub;
125
        reg             r_move; // It was accepted, and can move to next stage
126
 
127
        // Can the pending transaction be satisfied with the current (ongoing)
128
        // transaction?
129
        reg             m_move, m_match, m_continue, m_pending, m_we;
130
        reg     [25:0]   m_addr;
131 5 dgisselq
        reg     [13:0]   m_row;
132 3 dgisselq
        reg     [2:0]    m_bank;
133
        reg     [9:0]    m_col;
134
        reg     [1:0]    m_sub;
135
 
136
        // Can we preload the next bank?
137 5 dgisselq
        reg     [13:0]   r_nxt_row;
138 3 dgisselq
        reg     [2:0]    r_nxt_bank;
139 6 dgisselq
 
140
        reg     need_close_bank, need_close_this_bank,
141
                        last_close_bank, maybe_close_next_bank,
142
                        last_maybe_close,
143
                need_open_bank, last_open_bank, maybe_open_next_bank,
144
                        last_maybe_open,
145
                valid_bank, last_valid_bank;
146
        reg     [(`DDR_CMDLEN-1):0]      close_bank_cmd, activate_bank_cmd,
147
                                        maybe_close_cmd, maybe_open_cmd, rw_cmd;
148 7 dgisselq
 
149
        wire    w_this_closing_bank, w_this_opening_bank,
150
                w_this_maybe_close, w_this_maybe_open,
151
                w_this_rw_move, w_this_refresh;
152
        reg     last_closing_bank, last_opening_bank;
153 2 dgisselq
//
154
// tWTR = 7.5
155
// tRRD = 7.5
156
// tREFI= 7.8
157
// tFAW = 45
158
// tRTP = 7.5
159
// tCKE = 5.625
160
// tRFC = 160
161
// tRP  = 13.5
162
// tRAS = 36
163
// tRCD = 13.5
164
//
165
// RESET:
166
//      1. Hold o_reset_n = 1'b0; for 200 us, or 40,000 clocks (65536 perhaps?)
167
//              Hold cke low during this time as well
168
//              The clock should be free running into the chip during this time
169
//              Leave command in NOOP state: {cs,ras,cas,we} = 4'h7;
170
//              ODT must be held low
171
//      2. Hold cke low for another 500us, or 100,000 clocks
172
//      3. Raise CKE, continue outputting a NOOP for
173
//              tXPR, tDLLk, and tZQInit
174
//      4. Load MRS2, wait tMRD
175
//      4. Load MRS3, wait tMRD
176
//      4. Load MRS1, wait tMOD
177
// Before using the SDRAM, we'll need to program at least 3 of the mode
178
//      registers, if not all 4. 
179
//   tMOD clocks are required to program the mode registers, during which
180
//      time the RAM must be idle.
181
//
182
// NOOP: CS low, RAS, CAS, and WE high
183
 
184
//
185
// Reset logic should be simple, and is given as follows:
186
// note that it depends upon a ROM memory, reset_mem, and an address into that
187
// memory: reset_address.  Each memory location provides either a "command" to
188
// the DDR3 SDRAM, or a timer to wait until the next command.  Further, the
189
// timer commands indicate whether or not the command during the timer is to
190
// be set to idle, or whether the command is instead left as it was.
191 3 dgisselq
        reg             reset_override, reset_ztimer;
192 6 dgisselq
        reg     [4:0]    reset_address;
193 3 dgisselq
        reg     [(`DDR_CMDLEN-1):0]      reset_cmd, cmd, refresh_cmd;
194 5 dgisselq
        reg     [24:0]   reset_instruction;
195 3 dgisselq
        reg     [16:0]   reset_timer;
196
        initial reset_override = 1'b1;
197 6 dgisselq
        initial reset_address  = 5'h0;
198 2 dgisselq
        always @(posedge i_clk)
199
                if (i_reset)
200
                begin
201
                        reset_override <= 1'b1;
202 5 dgisselq
                        reset_cmd <= { `DDR_NOOP, reset_instruction[16:0]};
203
                end else if (reset_ztimer)
204
                begin
205
                        if (reset_instruction[`DDR_RSTDONE])
206
                                reset_override <= 1'b0;
207
                        reset_cmd <= reset_instruction[20:0];
208
                end
209 2 dgisselq
        always @(posedge i_clk)
210
                if (i_reset)
211
                        o_ddr_cke <= 1'b0;
212 3 dgisselq
                else if ((reset_override)&&(reset_ztimer))
213 2 dgisselq
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
214
 
215 4 dgisselq
        initial reset_ztimer = 1'b0;    // Is the timer zero?
216 5 dgisselq
        initial reset_timer = 17'h02;
217 2 dgisselq
        always @(posedge i_clk)
218
                if (i_reset)
219
                begin
220
                        reset_ztimer <= 1'b0;
221 5 dgisselq
                        reset_timer <= 17'd2;
222 2 dgisselq
                end else if (!reset_ztimer)
223
                begin
224
                        reset_ztimer <= (reset_timer == 17'h01);
225
                        reset_timer <= reset_timer - 17'h01;
226
                end else if (reset_instruction[`DDR_RSTTIMER])
227
                begin
228
                        reset_ztimer <= 1'b0;
229
                        reset_timer <= reset_instruction[16:0];
230
                end
231
 
232 5 dgisselq
        wire    [16:0]   w_ckXPR = CKXPR, w_ckRST = 4, w_ckRP = 3,
233 4 dgisselq
                        w_ckRFC = CKRFC;
234 2 dgisselq
        always @(posedge i_clk)
235 4 dgisselq
                if (i_reset)
236 5 dgisselq
                        reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
237
                else if (reset_ztimer) case(reset_address) // RSTDONE, TIMER, CKE, ??
238 4 dgisselq
                // 1. Reset asserted (active low) for 200 us. (@200MHz)
239 6 dgisselq
                5'h0: reset_instruction <= { 4'h4, `DDR_NOOP, 17'd40_000 };
240 4 dgisselq
                // 2. Reset de-asserted, wait 500 us before asserting CKE
241 6 dgisselq
                5'h1: reset_instruction <= { 4'h6, `DDR_NOOP, 17'd100_000 };
242 4 dgisselq
                // 3. Assert CKE, wait minimum of Reset CKE Exit time
243 6 dgisselq
                5'h2: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckXPR };
244 4 dgisselq
                // 4. Look MR2.  (1CK, no TIMER)
245 6 dgisselq
                5'h3: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h2,
246 5 dgisselq
                        3'h0, 2'b00, 1'b0, 1'b0, 1'b1, 3'b0, 3'b0 }; // MRS2
247 4 dgisselq
                // 3. Wait 4 clocks (tMRD)
248 6 dgisselq
                5'h4: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
249 4 dgisselq
                // 5. Set MR1
250 6 dgisselq
                5'h5: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h1,
251 5 dgisselq
                        1'h0, // Reserved for Future Use (RFU)
252 4 dgisselq
                        1'b0, // Qoff - output buffer enabled
253
                        1'b1, // TDQS ... enabled
254
                        1'b0, // RFU
255
                        1'b0, // High order bit, Rtt_Nom (3'b011)
256
                        1'b0, // RFU
257
                        //
258
                        1'b0, // Disable write-leveling
259
                        1'b1, // Mid order bit of Rtt_Nom
260
                        1'b0, // High order bit of Output Drvr Impedence Ctrl
261
                        2'b0, // Additive latency = 0
262
                        1'b1, // Low order bit of Rtt_Nom
263
                        1'b1, // DIC set to 2'b01
264
                        1'b1 }; // MRS1, DLL enable
265
                // 7. Wait another 4 clocks
266 6 dgisselq
                5'h6: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h02 };
267 4 dgisselq
                // 8. Send MRS0
268 6 dgisselq
                5'h7: reset_instruction <= { 4'h3, `DDR_MRSET, 3'h0,
269 5 dgisselq
                        1'b0, // Reserved for future use
270 4 dgisselq
                        1'b0, // PPD control, (slow exit(DLL off))
271
                        3'b1, // Write recovery for auto precharge
272
                        1'b0, // DLL Reset (No)
273
                        //
274
                        1'b0, // TM mode normal
275
                        3'b01, // High 3-bits, CAS latency (=4'b0010 = 4'd5)
276
                        1'b0, // Read burst type = nibble sequential
277
                        1'b0, // Low bit of cas latency
278
                        2'b0 }; // Burst length = 8 (Fixed)
279
                // 9. Wait tMOD, is max(12 clocks, 15ns)
280 6 dgisselq
                5'h8: reset_instruction <= { 4'h7, `DDR_NOOP, 17'h0a };
281 4 dgisselq
                // 10. Issue a ZQCL command to start ZQ calibration, A10 is high
282 6 dgisselq
                5'h9: reset_instruction <= { 4'h3, `DDR_ZQS, 6'h0, 1'b1, 10'h0};
283 4 dgisselq
                //11.Wait for both tDLLK and tZQinit completed, both are 512 cks
284 6 dgisselq
                5'ha: reset_instruction <= { 4'h7, `DDR_NOOP, 17'd512 };
285 4 dgisselq
                // 12. Precharge all command
286 6 dgisselq
                5'hb: reset_instruction <= { 4'h3, `DDR_PRECHARGE, 6'h0, 1'b1, 10'h0 };
287 4 dgisselq
                // 13. Wait for the precharge to complete
288 6 dgisselq
                5'hc: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRP };
289 4 dgisselq
                // 14. A single Auto Refresh commands
290 6 dgisselq
                5'hd: reset_instruction <= { 4'h3, `DDR_REFRESH, 17'h00 };
291 4 dgisselq
                // 15. Wait for the auto refresh to complete
292 6 dgisselq
                5'he: reset_instruction <= { 4'h7, `DDR_NOOP, w_ckRFC };
293 4 dgisselq
                // Two Auto Refresh commands
294 2 dgisselq
                default:
295 5 dgisselq
                        reset_instruction <={4'hb, `DDR_NOOP, 17'd00_000 };
296 2 dgisselq
                endcase
297
                // reset_instruction <= reset_mem[reset_address];
298
 
299 6 dgisselq
        initial reset_address = 5'h0;
300 2 dgisselq
        always @(posedge i_clk)
301
                if (i_reset)
302 6 dgisselq
                        reset_address <= 5'h1;
303
                else if ((reset_ztimer)&&(reset_override))
304
                        reset_address <= reset_address + 5'h1;
305 2 dgisselq
//
306
// initial reset_mem =
307
//       0.     !DONE, TIMER,RESET_N=0, CKE=0, CMD = NOOP, TIMER = 200us ( 40,000)
308
//       1.     !DONE, TIMER,RESET_N=1, CKE=0, CMD = NOOP, TIMER = 500us (100,000)
309
//       2.     !DONE, TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = (Look me up)
310
//       3.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS
311
//       4.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
312
//       5.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS3
313
//       6.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
314
//       7.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
315
//       8.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMRS
316
//       9.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = MODE, MRS1
317
//      10.     !DONE,!TIMER,RESET_N=1, CKE=1, CMD = NOOP, TIMER = tMOD
318
//      11.     !DONE,!TIMER,RESET_N=1, CKE=1, (Pre-charge all)
319
//      12.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
320
//      13.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
321
//      14.     !DONE,!TIMER,RESET_N=1, CKE=1, (Auto-refresh)
322
//      15.     !DONE,!TIMER,RESET_N=1, CKE=1, (wait)
323
 
324
 
325
//
326
//
327
// Let's keep track of any open banks.  There are 8 of them to keep track of.
328
//
329
//      A precharge requires 3 clocks at 200MHz to complete, 2 clocks at 100MHz.
330
//      
331
//
332
//
333 3 dgisselq
        reg     need_refresh;
334 2 dgisselq
 
335 3 dgisselq
        wire    w_precharge_all;
336
        reg     banks_are_closing, all_banks_closed;
337 6 dgisselq
        reg     [3:0]    bank_status     [0:7];
338
        reg     [13:0]   bank_address    [0:7];
339
 
340 2 dgisselq
        always @(posedge i_clk)
341
        begin
342 6 dgisselq
                bank_status[0] <= { bank_status[0][2:0], bank_status[0][0] };
343
                bank_status[1] <= { bank_status[1][2:0], bank_status[1][0] };
344
                bank_status[2] <= { bank_status[2][2:0], bank_status[2][0] };
345
                bank_status[3] <= { bank_status[3][2:0], bank_status[3][0] };
346
                bank_status[4] <= { bank_status[4][2:0], bank_status[4][0] };
347
                bank_status[5] <= { bank_status[5][2:0], bank_status[5][0] };
348
                bank_status[6] <= { bank_status[6][2:0], bank_status[6][0] };
349
                bank_status[7] <= { bank_status[7][2:0], bank_status[7][0] };
350
                all_banks_closed <= (bank_status[0][2:0] == 3'b00)
351
                                        &&(bank_status[1][2:0] == 3'b00)
352
                                        &&(bank_status[2][2:0] == 3'b00)
353
                                        &&(bank_status[3][2:0] == 3'b00)
354
                                        &&(bank_status[4][2:0] == 3'b00)
355
                                        &&(bank_status[5][2:0] == 3'b00)
356
                                        &&(bank_status[6][2:0] == 3'b00)
357
                                        &&(bank_status[7][2:0] == 3'b00);
358 7 dgisselq
                if (reset_override)
359 2 dgisselq
                begin
360 6 dgisselq
                        bank_status[0][0] <= 1'b0;
361
                        bank_status[1][0] <= 1'b0;
362
                        bank_status[2][0] <= 1'b0;
363
                        bank_status[3][0] <= 1'b0;
364
                        bank_status[4][0] <= 1'b0;
365
                        bank_status[5][0] <= 1'b0;
366
                        bank_status[6][0] <= 1'b0;
367
                        bank_status[7][0] <= 1'b0;
368 2 dgisselq
                        banks_are_closing <= 1'b1;
369 7 dgisselq
                end else if ((need_refresh)||(w_precharge_all))
370
                begin
371
                        bank_status[0][0] <= 1'b0;
372
                        bank_status[1][0] <= 1'b0;
373
                        bank_status[2][0] <= 1'b0;
374
                        bank_status[3][0] <= 1'b0;
375
                        bank_status[4][0] <= 1'b0;
376
                        bank_status[5][0] <= 1'b0;
377
                        bank_status[6][0] <= 1'b0;
378
                        bank_status[7][0] <= 1'b0;
379
                        banks_are_closing <= 1'b1;
380 2 dgisselq
                end else if (need_close_bank)
381
                begin
382 6 dgisselq
                        bank_status[close_bank_cmd[16:14]]
383
                                <= { bank_status[close_bank_cmd[16:14]][2:0], 1'b1 };
384
                        // bank_status[close_bank_cmd[16:14]][0] <= 1'b0;
385 2 dgisselq
                end else if (need_open_bank)
386
                begin
387 6 dgisselq
                        bank_status[activate_bank_cmd[16:14]]
388
                                <= { bank_status[activate_bank_cmd[16:14]][2:0], 1'b1 };
389
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
390 2 dgisselq
                        all_banks_closed <= 1'b0;
391
                        banks_are_closing <= 1'b0;
392 6 dgisselq
                end else if ((valid_bank)&&(!r_move))
393
                        ;
394
                else if (maybe_close_next_bank)
395
                begin
396
                        bank_status[maybe_close_cmd[16:14]]
397
                                <= { bank_status[maybe_close_cmd[16:14]][2:0], 1'b1 };
398
                end else if (maybe_open_next_bank)
399
                begin
400
                        bank_status[maybe_open_cmd[16:14]]
401
                                <= { bank_status[maybe_open_cmd[16:14]][2:0], 1'b1 };
402
                        // bank_status[activate_bank_cmd[16:14]][0] <= 1'b1;
403
                        all_banks_closed <= 1'b0;
404
                        banks_are_closing <= 1'b0;
405 2 dgisselq
                end
406
        end
407
 
408
        always @(posedge i_clk)
409 3 dgisselq
                // if (cmd[22:19] == `DDR_ACTIVATE)
410
                if (need_open_bank)
411 5 dgisselq
                        bank_address[activate_bank_cmd[16:14]]
412
                                <= activate_bank_cmd[13:0];
413 2 dgisselq
 
414
//
415
//
416
// Okay, let's investigate when we need to do a refresh.  Our plan will be to
417
// do 4 refreshes every tREFI*4 seconds.  tREFI = 7.8us, but its a parameter
418
// in the number of clocks so that we can handle both 100MHz and 200MHz clocks.
419
//
420
// Note that 160ns are needed between refresh commands (JEDEC, p172), or
421
// 320 clocks @200MHz, or equivalently 160 clocks @100MHz.  Thus to issue 4
422
// of these refresh cycles will require 4*320=1280 clocks@200 MHz.  After this
423
// time, no more refreshes will be needed for 6240 clocks.
424
//
425
// Let's think this through:
426
//      REFRESH_COST = (n*(320)+24)/(n*1560)
427
// 
428
//
429
//
430 7 dgisselq
        reg             refresh_ztimer;
431
        reg     [16:0]   refresh_counter;
432
        reg     [3:0]    refresh_addr;
433
        reg     [23:0]   refresh_instruction;
434 2 dgisselq
        always @(posedge i_clk)
435 7 dgisselq
                if (reset_override)
436
                        refresh_addr <= 4'hf;
437
                else if (refresh_ztimer)
438
                        refresh_addr <= refresh_addr + 1;
439
                else if (refresh_instruction[`DDR_RFBEGIN])
440
                        refresh_addr <= 4'h0;
441 6 dgisselq
 
442 2 dgisselq
        always @(posedge i_clk)
443 7 dgisselq
                if (reset_override)
444
                begin
445
                        refresh_ztimer <= 1'b1;
446
                        refresh_counter <= 17'd0;
447
                end else if (!refresh_ztimer)
448
                begin
449
                        refresh_ztimer <= (refresh_counter == 17'h1);
450
                        refresh_counter <= (refresh_counter - 17'h1);
451
                end else if (refresh_instruction[`DDR_RFTIMER])
452
                begin
453
                        refresh_ztimer <= 1'b0;
454
                        refresh_counter <= refresh_instruction[16:0];
455
                end
456 2 dgisselq
 
457 7 dgisselq
        wire    [16:0]   w_ckREFIn, w_ckREFRst;
458
        assign  w_ckREFIn[ 12: 0] = CKREFI4-5*CKRFC-2-10;
459
        assign  w_ckREFIn[ 16:13] = 4'h0;
460
        assign  w_ckREFRst[12: 0] = CKRFC-2-6;
461
        assign  w_ckREFRst[16:13] = 4'h0;
462
 
463 2 dgisselq
        always @(posedge i_clk)
464 7 dgisselq
                if (reset_override)
465
                        refresh_instruction <= { 3'h0, `DDR_NOOP, w_ckREFIn };
466
                else if (refresh_ztimer)
467
                        refresh_cmd <= refresh_instruction[20:0];
468 2 dgisselq
        always @(posedge i_clk)
469 7 dgisselq
                if (reset_override)
470
                        need_refresh <= 1'b0;
471
                else if (refresh_ztimer)
472
                        need_refresh <= refresh_instruction[`DDR_NEEDREFRESH];
473 2 dgisselq
 
474
        always @(posedge i_clk)
475 7 dgisselq
        if (refresh_ztimer)
476
                case(refresh_addr)//NEED-RFC, HAVE-TIMER, 
477
                4'h0: refresh_instruction <= { 3'h2, `DDR_NOOP, w_ckREFIn };
478
                // 17'd10 = time to complete write, plus write recovery time
479
                //              minus two (cause we can't count zero or one)
480
                //      = WL+4+tWR-2 = 10
481
                //      = 5+4+3-2 = 10
482
                4'h1: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd10 };
483
                4'h2: refresh_instruction <= { 3'h4, `DDR_PRECHARGE, 17'h0400 };
484
                4'h3: refresh_instruction <= { 3'h6, `DDR_NOOP, 17'd2 };
485
                4'h4: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
486
                4'h5: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
487
                4'h6: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
488
                4'h7: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
489
                4'h8: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
490
                4'h9: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
491
                4'ha: refresh_instruction <= { 3'h4, `DDR_REFRESH, 17'h00 };
492
                4'hb: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
493
                4'hc: refresh_instruction <= { 3'h6, `DDR_NOOP, w_ckRFC };
494
                default:
495
                        refresh_instruction <= { 3'h1, `DDR_NOOP, 17'h00 };
496
                endcase
497 2 dgisselq
 
498
 
499
//
500
//
501
//      Let's track: when will our bus be active?  When will we be reading or
502
//      writing?
503
//
504
//
505 7 dgisselq
        reg     [`BUSNOW:0]      bus_active, bus_read, bus_new;
506
        reg     [1:0]    bus_subaddr     [`BUSNOW:0];
507 3 dgisselq
        initial bus_active = 0;
508 2 dgisselq
        always @(posedge i_clk)
509
        begin
510 7 dgisselq
                bus_active[`BUSNOW:0] <= { bus_active[(`BUSNOW-1):0], 1'b0 };
511
                bus_read[`BUSNOW:0]   <= { bus_read[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
512
                bus_new[`BUSNOW:0]   <= { bus_new[(`BUSNOW-1):0], 1'b0 }; // Drive the d-bus?
513 3 dgisselq
                //bus_mask[8:0] <= { bus_mask[7:0], 1'b1 }; // Write this value?
514 2 dgisselq
                bus_subaddr[8]  <= bus_subaddr[7];
515
                bus_subaddr[7]  <= bus_subaddr[6];
516
                bus_subaddr[6]  <= bus_subaddr[5];
517
                bus_subaddr[5]  <= bus_subaddr[4];
518
                bus_subaddr[4]  <= bus_subaddr[3];
519
                bus_subaddr[3]  <= bus_subaddr[2];
520
                bus_subaddr[2]  <= bus_subaddr[1];
521
                bus_subaddr[1]  <= bus_subaddr[0];
522
                bus_subaddr[0]  <= 2'h3;
523 7 dgisselq
                if (w_this_rw_move)
524 2 dgisselq
                begin
525
                        bus_active[3:0]<= 4'hf; // Once per clock
526
                        bus_read[3:0]  <= 4'hf; // These will be reads
527
                        bus_subaddr[3] <= 2'h0;
528
                        bus_subaddr[2] <= 2'h1;
529
                        bus_subaddr[1] <= 2'h2;
530 7 dgisselq
                        bus_new[{ 2'b0, (2'h3-r_sub) }] <= 1'b1;
531 4 dgisselq
 
532
                        bus_read[3:0] <= (r_we)? 4'h0:4'hf;
533 2 dgisselq
                end
534
        end
535
 
536
        always @(posedge i_clk)
537 7 dgisselq
                drive_dqs <= (~bus_read[`BUSREG])&&(|bus_active[`BUSREG]);
538 2 dgisselq
 
539
//
540
//
541
// Now, let's see, can we issue a read command?
542
//
543
//
544
        always @(posedge i_clk)
545
        begin
546
                if ((i_wb_stb)&&(~o_wb_stall))
547
                begin
548 3 dgisselq
                        r_pending <= 1'b1;
549 2 dgisselq
                        o_wb_stall <= 1'b1;
550 7 dgisselq
                end else if ((m_move)||(w_this_rw_move))
551 2 dgisselq
                begin
552 3 dgisselq
                        r_pending <= 1'b0;
553 2 dgisselq
                        o_wb_stall <= 1'b0;
554
                end
555
 
556
                if (~o_wb_stall)
557
                begin
558
                        r_we   <= i_wb_we;
559
                        r_addr <= i_wb_addr;
560
                        r_data <= i_wb_data;
561 5 dgisselq
                        r_row  <= i_wb_addr[25:12];
562
                        r_bank <= i_wb_addr[11:9];
563
                        r_col  <= { i_wb_addr[8:2], 3'b000 }; // 9:2
564 2 dgisselq
                        r_sub  <= i_wb_addr[1:0];
565
 
566
                        // pre-emptive work
567 6 dgisselq
                        r_nxt_row  <= (i_wb_addr[11:9]==3'h7)?i_wb_addr[25:12]+14'h1:i_wb_addr[25:12];
568 5 dgisselq
                        r_nxt_bank <= i_wb_addr[11:9]+3'h1;
569 2 dgisselq
                end
570
        end
571
 
572 6 dgisselq
        wire    w_need_close_this_bank, w_need_open_bank;
573
        assign  w_need_close_this_bank = (r_pending)&&(bank_status[r_bank][0])
574
                        &&(r_row != bank_address[r_bank]);
575
        assign  w_need_open_bank = (r_pending)&&(bank_status[r_bank][1:0]==2'b00);
576 3 dgisselq
 
577 2 dgisselq
        always @(posedge i_clk)
578
        begin
579 6 dgisselq
                need_close_bank <= (w_need_close_this_bank)
580
                                &&(!w_this_closing_bank)&&(!last_closing_bank);
581 2 dgisselq
 
582
                maybe_close_next_bank <= (r_pending)
583 6 dgisselq
                        &&(bank_status[r_nxt_bank][0])
584 2 dgisselq
                        &&(r_nxt_row != bank_address[r_nxt_bank])
585 6 dgisselq
                        &&(!w_this_maybe_close)&&(!last_maybe_close);
586 2 dgisselq
 
587 6 dgisselq
                close_bank_cmd <= { `DDR_PRECHARGE, r_bank, r_row[13:11], 1'b0, r_row[9:0] };
588
                maybe_close_cmd <= { `DDR_PRECHARGE, r_nxt_bank, r_nxt_row[13:11], 1'b0, r_nxt_row[9:0] };
589 2 dgisselq
 
590
 
591 6 dgisselq
                need_open_bank <= (w_need_open_bank)
592
                                &&(!w_this_opening_bank)&&(!last_opening_bank);
593
                last_open_bank <= (w_this_opening_bank);
594 2 dgisselq
 
595
                maybe_open_next_bank <= (r_pending)
596 6 dgisselq
                        &&(bank_status[r_bank][0] == 1'b1)
597
                        &&(bank_status[r_nxt_bank][1:0] == 2'b00)
598
                        &&(!w_this_maybe_open)&&(!last_maybe_open);
599
                last_maybe_open <= (w_this_maybe_open);
600 2 dgisselq
 
601 6 dgisselq
                activate_bank_cmd<= { `DDR_ACTIVATE,  r_bank,     r_row[13:0] };
602
                maybe_open_cmd <= { `DDR_ACTIVATE,r_nxt_bank, r_nxt_row[13:0] };
603 2 dgisselq
 
604
 
605
 
606 6 dgisselq
                valid_bank <= (r_pending)&&(bank_status[r_bank][3])
607 2 dgisselq
                                &&(bank_address[r_bank]==r_row)
608 6 dgisselq
                                &&(!last_valid_bank)&&(!r_move)
609
                                &&(!bus_active[0]);
610
                last_valid_bank <= r_move;
611 2 dgisselq
 
612
                rw_cmd[`DDR_CSBIT:`DDR_WEBIT] <= (~r_pending)?`DDR_NOOP:((r_we)?`DDR_WRITE:`DDR_READ);
613 5 dgisselq
                rw_cmd[`DDR_WEBIT-1:0] <= { r_bank, 3'h0, 1'b0, r_col };
614 2 dgisselq
        end
615
 
616
 
617
        // Match registers, to see if we can move forward without sending a
618
        // new command
619 7 dgisselq
        reg     [2:0]    m_clock;
620
        reg             m_timeout;
621 2 dgisselq
        always @(posedge i_clk)
622
        begin
623 7 dgisselq
                if (|m_clock)
624
                        m_clock <= m_clock - 3'h1;
625
                if (!m_timeout)
626
                        m_timeout <= (m_clock[2:1] == 2'b00);
627
                if (w_this_rw_move)
628 2 dgisselq
                begin
629
                        m_pending <= r_pending;
630
                        m_we   <= r_we;
631
                        m_addr <= r_addr;
632
                        m_row  <= r_row;
633
                        m_bank <= r_bank;
634
                        m_col  <= r_col;
635
                        m_sub  <= r_sub;
636 7 dgisselq
                        m_clock<= 3'h7;
637
                        m_timeout <= 1'b0;
638
                end else if ((m_match)&&(!m_timeout))
639 2 dgisselq
                        m_sub <= r_sub;
640
 
641 3 dgisselq
                m_match <= (m_pending)&&(r_pending)&&(r_we == m_we)
642 2 dgisselq
                                &&(r_row == m_row)&&(r_bank == m_bank)
643
                                &&(r_col == m_col)
644
                                &&(r_sub > m_sub);
645 3 dgisselq
                m_continue <= (m_pending)&&(r_pending)&&(r_we == m_we)
646 2 dgisselq
                                &&(r_row == m_row)&&(r_bank == m_bank)
647 3 dgisselq
                                &&(r_col == m_col+10'h1);
648
                // m_nextbank <= (m_pending)&&(r_pending)&&(r_we == m_we)
649
                //              &&(r_row == m_row)&&(r_bank == m_bank);
650 2 dgisselq
        end
651
 
652
//
653
//
654
// Okay, let's look at the last assignment in our chain.  It should look
655
// something like:
656
        always @(posedge i_clk)
657 4 dgisselq
                if (i_reset)
658
                        o_ddr_reset_n <= 1'b0;
659
                else if (reset_ztimer)
660
                        o_ddr_reset_n <= reset_instruction[`DDR_RSTBIT];
661 2 dgisselq
        always @(posedge i_clk)
662 4 dgisselq
                if (i_reset)
663
                        o_ddr_cke <= 1'b0;
664
                else if (reset_ztimer)
665
                        o_ddr_cke <= reset_instruction[`DDR_CKEBIT];
666 6 dgisselq
 
667 7 dgisselq
        assign  w_this_refresh = (!reset_override)&&(need_refresh)
668
                        &&(refresh_cmd[`DDR_CSBIT:`DDR_WEBIT] == `DDR_REFRESH);
669
 
670 6 dgisselq
        assign  w_this_closing_bank = (!reset_override)&&(!need_refresh)
671
                                &&(need_close_bank);
672
        assign  w_this_opening_bank = (!reset_override)&&(!need_refresh)
673
                                &&(!need_close_bank)&&(need_open_bank);
674 7 dgisselq
        assign  w_this_rw_move = (!reset_override)&&(!need_refresh)
675
                                &&(!need_close_bank)&&(!need_open_bank)
676
                                &&(valid_bank)&&(!r_move);
677 6 dgisselq
        assign  w_this_maybe_close = (!reset_override)&&(!need_refresh)
678
                                &&(!need_close_bank)&&(!need_open_bank)
679
                                &&((!valid_bank)||(r_move))
680
                                &&(maybe_close_next_bank);
681
        assign  w_this_maybe_open = (!reset_override)&&(!need_refresh)
682
                                &&(!need_close_bank)&&(!need_open_bank)
683
                                &&((!valid_bank)||(r_move))
684
                                &&(!maybe_close_next_bank)
685
                                &&(maybe_open_next_bank);
686 2 dgisselq
        always @(posedge i_clk)
687
        begin
688 6 dgisselq
                last_opening_bank <= 1'b0;
689
                last_closing_bank <= 1'b0;
690
                last_maybe_open   <= 1'b0;
691
                last_maybe_close  <= 1'b0;
692 2 dgisselq
                r_move <= 1'b0;
693
                if (reset_override)
694 3 dgisselq
                        cmd <= reset_cmd[`DDR_CSBIT:0];
695 2 dgisselq
                else if (need_refresh)
696
                begin
697
                        cmd <= refresh_cmd; // The command from the refresh logc
698
                end else if (need_close_bank)
699 6 dgisselq
                begin
700 2 dgisselq
                        cmd <= close_bank_cmd;
701 6 dgisselq
                        last_closing_bank <= 1'b1;
702
                end else if (need_open_bank)
703
                begin
704 2 dgisselq
                        cmd <= activate_bank_cmd;
705 6 dgisselq
                        last_opening_bank <= 1'b1;
706
                end else if ((valid_bank)&&(!r_move))
707 2 dgisselq
                begin
708
                        cmd <= rw_cmd;
709
                        r_move <= 1'b1;
710 6 dgisselq
                end else if (maybe_close_next_bank)
711
                begin
712
                        cmd <= maybe_close_cmd;
713
                        last_maybe_close <= 1'b1;
714
                end else if (maybe_open_next_bank)
715
                begin
716
                        cmd <= maybe_open_cmd;
717
                        last_maybe_open <= 1'b1;
718 2 dgisselq
                end else
719 4 dgisselq
                        cmd <= { `DDR_NOOP, rw_cmd[(`DDR_WEBIT-1):0] };
720 2 dgisselq
        end
721
 
722 7 dgisselq
`define LGFIFOLN        4
723
`define FIFOLEN         16
724
        reg     [(`LGFIFOLN-1):0]        bus_fifo_head, bus_fifo_tail;
725
        reg     [31:0]   bus_fifo_data   [0:(`FIFOLEN-1)];
726
        reg     [1:0]    bus_fifo_sub    [0:(`FIFOLEN-1)];
727
        reg             bus_fifo_new    [0:(`FIFOLEN-1)];
728
        reg             pre_ack;
729 3 dgisselq
 
730 7 dgisselq
        // The bus R/W FIFO
731
        wire    w_bus_fifo_read_next_transaction;
732
        assign  w_bus_fifo_read_next_transaction = (bus_fifo_sub[bus_fifo_tail]==bus_subaddr[`BUSREG])&&(bus_active[`BUSREG])&&(bus_new[`BUSREG] == bus_fifo_new[bus_fifo_tail]);
733
        always @(posedge i_clk)
734
        begin
735
                pre_ack <= 1'b0;
736
                o_ddr_dm <= 1'b0;
737
                if ((i_reset)||(reset_override))
738
                begin
739
                        bus_fifo_head <= 4'h0;
740
                        bus_fifo_tail <= 4'h0;
741
                        o_ddr_dm <= 1'b0;
742
                end else begin
743
                        if ((w_this_rw_move)||(m_move))
744
                                bus_fifo_head <= bus_fifo_head + 4'h1;
745
 
746
                        o_ddr_dm <= (bus_active[`BUSREG])&&(!bus_read[`BUSREG]);
747
                        if (w_bus_fifo_read_next_transaction)
748
                        begin
749
                                bus_fifo_tail <= bus_fifo_tail + 4'h1;
750
                                pre_ack <= 1'b1;
751
                                o_ddr_dm <= 1'b0;
752
                        end
753
                end
754
                bus_fifo_data[bus_fifo_head] <= r_data;
755
                bus_fifo_sub[bus_fifo_head] <= r_sub;
756
                bus_fifo_new[bus_fifo_head] <= w_this_rw_move;
757
        end
758
 
759
 
760 3 dgisselq
        assign  o_ddr_cs_n  = cmd[`DDR_CSBIT];
761
        assign  o_ddr_ras_n = cmd[`DDR_RASBIT];
762
        assign  o_ddr_cas_n = cmd[`DDR_CASBIT];
763
        assign  o_ddr_we_n  = cmd[`DDR_WEBIT];
764 2 dgisselq
        assign  o_ddr_dqs   = drive_dqs;
765 3 dgisselq
        assign  o_ddr_addr  = cmd[(`DDR_ADDR_BITS-1):0];
766
        assign  o_ddr_ba    = cmd[(`DDR_BABITS+`DDR_ADDR_BITS-1):`DDR_ADDR_BITS];
767 7 dgisselq
        always @(posedge i_clk)
768
                o_ddr_data  <= bus_fifo_data[bus_fifo_tail];
769 3 dgisselq
        assign  w_precharge_all = (cmd[`DDR_CSBIT:`DDR_WEBIT]==`DDR_PRECHARGE)
770 2 dgisselq
                                &&(o_ddr_addr[10]); // 5 bits
771
 
772
        // Need to set o_wb_dqs high one clock prior to any read.
773
        // As per spec, ODT = 0 during reads
774 7 dgisselq
        assign  o_ddr_bus_oe = ~bus_read[`BUSNOW];
775 2 dgisselq
 
776 4 dgisselq
        // ODT must be in high impedence while reset_n=0, then it can be set
777
        // to low or high.
778
        assign  o_ddr_odt = o_ddr_bus_oe;
779 2 dgisselq
 
780 7 dgisselq
        always @(posedge i_clk)
781
                o_wb_ack <= pre_ack;
782
        always @(posedge i_clk)
783
                o_wb_data <= i_ddr_data;
784 4 dgisselq
 
785 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.