OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [rtl/] [axi_cmn/] [fm_cmn_if_ff_out.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 specular
//=======================================================================
2
// Project Monophony
3
//   Wire-Frame 3D Graphics Accelerator IP Core
4
//
5
// File:
6
//   fm_cmn_if_ff_out.v
7
//
8
// Abstract:
9
//   F/F bus interface
10
//
11
// Author:
12 9 specular
//   Kenji Ishimaru (info.info.wf3d@gmail.com)
13 5 specular
//
14
//======================================================================
15
//
16
// Copyright (c) 2016, Kenji Ishimaru
17
// All rights reserved.
18
//
19
// Redistribution and use in source and binary forms, with or without
20
// modification, are permitted provided that the following conditions are met:
21
//
22
//  -Redistributions of source code must retain the above copyright notice,
23
//   this list of conditions and the following disclaimer.
24
//  -Redistributions in binary form must reproduce the above copyright notice,
25
//   this list of conditions and the following disclaimer in the documentation
26
//   and/or other materials provided with the distribution.
27
//
28
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
29
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
30
// THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
31
// PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
32
// CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
33
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
// PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
// OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
// OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE,
38
// EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
//
40
// Revision History
41
 
42
module fm_cmn_if_ff_out (
43
    clk_core,
44
    rst_x,
45
    // local interface
46
    i_req,
47
    i_wr,
48
    i_adrs,
49
    i_len,
50
    o_ack,
51
    i_strw,
52
    i_be,
53
    i_dbw,
54
    o_ackw,
55
    o_strr,
56
    o_dbr,
57
    // F/F interface
58
    o_req,
59
    o_wr,
60
    o_adrs,
61
    o_len,
62
    i_ack,
63
    o_strw,
64
    o_be,
65
    o_dbw,
66
    i_ackw,
67
    i_strr,
68
    i_dbr
69
 );
70
 
71
//////////////////////////////////
72
// parameter
73
//////////////////////////////////
74
    parameter P_ADRS_WIDTH = 'd22;
75
    parameter P_DATA_WIDTH = 'd32;
76
    parameter P_BLEN_WIDTH = 'd6;
77
 
78
    parameter P_BE_WIDTH   = P_DATA_WIDTH/8;
79
 
80
//////////////////////////////////
81
// I/O port definition
82
//////////////////////////////////
83
    input          clk_core;
84
    input          rst_x;
85
    // local interface
86
    input          i_req;
87
    input          i_wr;
88
    input  [P_ADRS_WIDTH-1:0]
89
                   i_adrs;
90
    input  [P_BLEN_WIDTH-1:0]
91
                   i_len;
92
    output         o_ack;
93
    input          i_strw;
94
    input  [P_BE_WIDTH-1:0]
95
                   i_be;
96
    input  [P_DATA_WIDTH-1:0]
97
                   i_dbw;
98
    output         o_ackw;
99
    output         o_strr;
100
    output [P_DATA_WIDTH-1:0]
101
                   o_dbr;
102
    // F/F-ed interface
103
    output         o_req;
104
    output         o_wr;
105
    output [P_ADRS_WIDTH-1:0]
106
                   o_adrs;
107
    output [P_BLEN_WIDTH-1:0]
108
                   o_len;
109
    input          i_ack;
110
    output         o_strw;
111
    output [P_BE_WIDTH-1:0]
112
                   o_be;
113
    output [P_DATA_WIDTH-1:0]
114
                   o_dbw;
115
    input          i_ackw;
116
    input          i_strr;
117
    input  [P_DATA_WIDTH-1:0]
118
                   i_dbr;
119
 
120
//////////////////////////////////
121
// reg 
122
//////////////////////////////////
123
    reg            r_req;
124
    reg            r_wr;
125
    reg    [P_ADRS_WIDTH-1:0]
126
                   r_adrs;
127
    reg    [P_BLEN_WIDTH-1:0]
128
                   r_len;
129
    reg            r_ack;
130
    reg            r_strw;
131
    reg    [P_BE_WIDTH-1:0]
132
                   r_be;
133
    reg    [P_DATA_WIDTH-1:0]
134
                   r_dbw;
135
    reg            r_ackw;
136
//    reg    [P_DATA_WIDTH-1:0]
137
//                   r_dbr;
138
//    reg            r_strr;
139
//////////////////////////////////
140
// wire 
141
//////////////////////////////////
142
//////////////////////////////////
143
// assign 
144
//////////////////////////////////
145
 
146
    assign o_req = r_req;
147
    assign o_wr = r_wr;
148
    assign o_adrs = r_adrs;
149
    assign o_len = r_len;
150
    assign o_strw = r_strw;
151
    assign o_be = r_be;
152
    assign o_dbw = r_dbw;
153
 
154
    assign o_ack = (i_req & i_wr) ? (r_ack & r_ackw) : r_ack;
155
    assign o_ackw = (i_req & i_wr) ? (r_ack & r_ackw) : r_ackw;
156
 
157
    assign o_dbr = i_dbr;
158
    assign o_strr = i_strr;
159
 
160
//////////////////////////////////
161
// always
162
//////////////////////////////////
163
    always @(posedge clk_core or negedge rst_x) begin
164
        if (~rst_x) begin
165
            r_req <= 1'b0;
166
            r_wr <= 1'b0;
167
            r_strw <= 1'b0;
168
        end else begin
169
            r_req <= (o_ack) ? i_req : 1'b0;
170
            r_wr <= i_wr;
171
            r_strw <= (o_ackw & i_strw);
172
        end
173
    end
174
 
175
    always @(posedge clk_core) begin
176
        r_adrs <= i_adrs;
177
        r_len <= i_len;
178
        r_be <= i_be;
179
        r_dbw <= i_dbw;
180
    end
181
 
182
    always @(posedge clk_core or negedge rst_x) begin
183
        if (~rst_x) begin
184
            r_ack <= 1'b0;
185
            r_ackw <= 1'b0;
186
        end else begin
187
            r_ack <= i_ack;
188
            r_ackw <= i_ackw;
189
        end
190
    end
191
 
192
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.