OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [de0/] [qtproject_nb/] [fm_3d_wrapprt_hw.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 specular
# TCL File Generated by Component Editor 13.0sp1
2
# Tue Sep 09 10:30:48 JST 2014
3
# DO NOT MODIFY
4
 
5
 
6
# 
7
# fm_3d_wrapprt "3D Core Wrapper" v1.0
8
#  2014.09.09.10:30:48
9
# 
10
# 
11
 
12
# 
13
# request TCL package from ACDS 13.1
14
# 
15
package require -exact qsys 13.1
16
 
17
 
18
# 
19
# module fm_3d_wrapprt
20
# 
21
set_module_property DESCRIPTION ""
22
set_module_property NAME fm_3d_wrapprt
23
set_module_property VERSION 1.0
24
set_module_property INTERNAL false
25
set_module_property OPAQUE_ADDRESS_MAP true
26
set_module_property GROUP MyLib
27
set_module_property AUTHOR ""
28
set_module_property DISPLAY_NAME "3D Core Wrapper"
29
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
30
set_module_property EDITABLE true
31
set_module_property ANALYZE_HDL AUTO
32
set_module_property REPORT_TO_TALKBACK false
33
set_module_property ALLOW_GREYBOX_GENERATION false
34
 
35
 
36
# 
37
# file sets
38
# 
39
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
40
set_fileset_property QUARTUS_SYNTH TOP_LEVEL fm_3d_wrapper
41
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
42
add_fileset_file fm_3d_wrapper.v VERILOG PATH ../../../rtl/de0/fm_3d_wrapper.v TOP_LEVEL_FILE
43
 
44
add_fileset SIM_VERILOG SIM_VERILOG "" ""
45
set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false
46
add_fileset_file fm_3d_core.v VERILOG PATH ../../../../rtl/core/fm_3d_core.v
47
add_fileset_file fm_3d_f22_to_ui.v VERILOG PATH ../../../../rtl/core/fm_3d_f22_to_i.v
48
add_fileset_file fm_3d_fadd.v VERILOG PATH ../../../../rtl/core/fm_3d_fadd.v
49
add_fileset_file fm_3d_fcnv.v VERILOG PATH ../../../../rtl/core/fm_3d_fcnv.v
50
add_fileset_file fm_3d_fmul.v VERILOG PATH ../../../../rtl/core/fm_3d_fmul.v
51
add_fileset_file fm_3d_frcp.v VERILOG PATH ../../../../rtl/core/fm_3d_frcp.v
52
add_fileset_file fm_3d_frcp_rom.v VERILOG PATH ../../../../rtl/core/fm_3d_frcp_rom.v
53
add_fileset_file fm_3d_norm.v VERILOG PATH ../../../../rtl/core/fm_3d_norm.v
54
add_fileset_file fm_sys.v VERILOG PATH ../../../../rtl/core/fm_sys.v
55
add_fileset_file fm_geo.v VERILOG PATH ../../../../rtl/core/fm_geo.v
56
add_fileset_file fm_geo_mem.v VERILOG PATH ../../../../rtl/core/fm_geo_mem.v
57
add_fileset_file fm_geo_matrix.v VERILOG PATH ../../../../rtl/core/fm_geo_matrix.v
58
add_fileset_file fm_mem_arb.v VERILOG PATH ../../../../rtl/core/fm_mem_arb.v
59
add_fileset_file fm_geo_persdiv.v VERILOG PATH ../../../../rtl/core/fm_geo_persdiv.v
60
add_fileset_file fm_ras.v VERILOG PATH ../../../../rtl/core/fm_ras.v
61
add_fileset_file fm_geo_tri.v VERILOG PATH ../../../../rtl/core/fm_geo_tri.v
62
add_fileset_file fm_ras_line.v VERILOG PATH ../../../../rtl/core/fm_ras_line.v
63
add_fileset_file fm_ras_mem.v VERILOG PATH ../../../../rtl/core/fm_ras_mem.v
64
add_fileset_file fm_ras_state.v VERILOG PATH ../../../../rtl/core/fm_ras_state.v
65
add_fileset_file fm_geo_viewport.v VERILOG PATH ../../../../rtl/core/fm_geo_viewport.v
66
add_fileset_file fm_avalon.v VERILOG PATH ../../../rtl/de0/fm_avalon.v
67
add_fileset_file fm_3d_wrapper.v VERILOG PATH ../../../rtl/de0/fm_3d_wrapper.v
68
 
69
 
70
# 
71
# parameters
72
# 
73
 
74
 
75
# 
76
# display items
77
# 
78
 
79
 
80
# 
81
# connection point clock_sink
82
# 
83
add_interface clock_sink clock end
84
set_interface_property clock_sink clockRate 0
85
set_interface_property clock_sink ENABLED true
86
set_interface_property clock_sink EXPORT_OF ""
87
set_interface_property clock_sink PORT_NAME_MAP ""
88
set_interface_property clock_sink SVD_ADDRESS_GROUP ""
89
 
90
add_interface_port clock_sink clk_core clk Input 1
91
 
92
 
93
# 
94
# connection point interrupt_sender
95
# 
96
add_interface interrupt_sender interrupt end
97
set_interface_property interrupt_sender associatedAddressablePoint avalon_slave
98
set_interface_property interrupt_sender associatedClock clock_sink
99
set_interface_property interrupt_sender associatedReset reset_sink
100
set_interface_property interrupt_sender ENABLED true
101
set_interface_property interrupt_sender EXPORT_OF ""
102
set_interface_property interrupt_sender PORT_NAME_MAP ""
103
set_interface_property interrupt_sender SVD_ADDRESS_GROUP ""
104
 
105
add_interface_port interrupt_sender o_int irq Output 1
106
 
107
 
108
# 
109
# connection point avalon_slave
110
# 
111
add_interface avalon_slave avalon end
112
set_interface_property avalon_slave addressUnits WORDS
113
set_interface_property avalon_slave associatedClock clock_sink
114
set_interface_property avalon_slave associatedReset reset_sink
115
set_interface_property avalon_slave bitsPerSymbol 8
116
set_interface_property avalon_slave burstOnBurstBoundariesOnly false
117
set_interface_property avalon_slave burstcountUnits WORDS
118
set_interface_property avalon_slave explicitAddressSpan 0
119
set_interface_property avalon_slave holdTime 0
120
set_interface_property avalon_slave linewrapBursts false
121
set_interface_property avalon_slave maximumPendingReadTransactions 0
122
set_interface_property avalon_slave readLatency 0
123
set_interface_property avalon_slave readWaitTime 1
124
set_interface_property avalon_slave setupTime 0
125
set_interface_property avalon_slave timingUnits Cycles
126
set_interface_property avalon_slave writeWaitTime 0
127
set_interface_property avalon_slave ENABLED true
128
set_interface_property avalon_slave EXPORT_OF ""
129
set_interface_property avalon_slave PORT_NAME_MAP ""
130
set_interface_property avalon_slave SVD_ADDRESS_GROUP ""
131
 
132
add_interface_port avalon_slave i_avs_adr address Input 6
133
add_interface_port avalon_slave i_avs_be byteenable Input 4
134
add_interface_port avalon_slave i_avs_r read Input 1
135
add_interface_port avalon_slave o_avs_rd readdata Output 32
136
add_interface_port avalon_slave i_avs_w write Input 1
137
add_interface_port avalon_slave i_avs_wd writedata Input 32
138
add_interface_port avalon_slave o_avs_wait waitrequest Output 1
139
set_interface_assignment avalon_slave embeddedsw.configuration.isFlash 0
140
set_interface_assignment avalon_slave embeddedsw.configuration.isMemoryDevice 0
141
set_interface_assignment avalon_slave embeddedsw.configuration.isNonVolatileStorage 0
142
set_interface_assignment avalon_slave embeddedsw.configuration.isPrintableDevice 0
143
 
144
 
145
# 
146
# connection point avalon_master
147
# 
148
add_interface avalon_master avalon start
149
set_interface_property avalon_master addressUnits SYMBOLS
150
set_interface_property avalon_master associatedClock clock_sink
151
set_interface_property avalon_master associatedReset reset_sink
152
set_interface_property avalon_master bitsPerSymbol 8
153
set_interface_property avalon_master burstOnBurstBoundariesOnly false
154
set_interface_property avalon_master burstcountUnits WORDS
155
set_interface_property avalon_master doStreamReads false
156
set_interface_property avalon_master doStreamWrites false
157
set_interface_property avalon_master holdTime 0
158
set_interface_property avalon_master linewrapBursts false
159
set_interface_property avalon_master maximumPendingReadTransactions 0
160
set_interface_property avalon_master readLatency 0
161
set_interface_property avalon_master readWaitTime 1
162
set_interface_property avalon_master setupTime 0
163
set_interface_property avalon_master timingUnits Cycles
164
set_interface_property avalon_master writeWaitTime 0
165
set_interface_property avalon_master ENABLED true
166
set_interface_property avalon_master EXPORT_OF ""
167
set_interface_property avalon_master PORT_NAME_MAP ""
168
set_interface_property avalon_master SVD_ADDRESS_GROUP ""
169
 
170
add_interface_port avalon_master o_avm_adr address Output 26
171
add_interface_port avalon_master o_avm_be byteenable Output 4
172
add_interface_port avalon_master o_avm_wd writedata Output 32
173
add_interface_port avalon_master o_avm_blen burstcount Output 3
174
add_interface_port avalon_master o_avm_r read Output 1
175
add_interface_port avalon_master o_avm_w write Output 1
176
add_interface_port avalon_master i_avm_wait waitrequest Input 1
177
add_interface_port avalon_master i_avm_rd readdata Input 32
178
add_interface_port avalon_master i_avm_rvalid readdatavalid Input 1
179
 
180
 
181
# 
182
# connection point reset_sink
183
# 
184
add_interface reset_sink reset end
185
set_interface_property reset_sink associatedClock clock_sink
186
set_interface_property reset_sink synchronousEdges DEASSERT
187
set_interface_property reset_sink ENABLED true
188
set_interface_property reset_sink EXPORT_OF ""
189
set_interface_property reset_sink PORT_NAME_MAP ""
190
set_interface_property reset_sink SVD_ADDRESS_GROUP ""
191
 
192
add_interface_port reset_sink rst_x reset_n Input 1
193
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.