OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [db/] [wiegand_tx_top.sta.qmsg] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1424102398720 ""}
2
{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition " "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1424102398736 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Feb 16 10:59:58 2015 " "Processing started: Mon Feb 16 10:59:58 2015" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1424102398736 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1424102398736 ""}
3
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta wiegand_tx_top -c wiegand_tx_top " "Command: quartus_sta wiegand_tx_top -c wiegand_tx_top" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1424102398736 ""}
4
{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1424102398907 ""}
5
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" {  } {  } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1424102399251 ""}
6
{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." {  } {  } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Quartus II" 0 -1 1424102399329 ""}
7
{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." {  } {  } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Quartus II" 0 -1 1424102399329 ""}
8
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "wiegand_tx_top.sdc " "Synopsys Design Constraints File file not found: 'wiegand_tx_top.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1424102400171 ""}
9
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1424102400171 ""}
10
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name wb_clk_i wb_clk_i " "create_clock -period 1.000 -name wb_clk_i wb_clk_i" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400171 ""}  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400171 ""}
11
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1424102400499 ""}
12
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400499 ""}
13
{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1424102400499 ""}
14
{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1424102400530 ""}
15
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1424102400592 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1424102400592 ""}
16
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -2.910 " "Worst-case setup slack is -2.910" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400608 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400608 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.910            -416.889 wb_clk_i  " "   -2.910            -416.889 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400608 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102400608 ""}
17
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.355 " "Worst-case hold slack is 0.355" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.355               0.000 wb_clk_i  " "    0.355               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400623 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102400623 ""}
18
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.528 " "Worst-case recovery slack is -2.528" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400639 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400639 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.528            -267.492 wb_clk_i  " "   -2.528            -267.492 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400639 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102400639 ""}
19
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 2.342 " "Worst-case removal slack is 2.342" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400655 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400655 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.342               0.000 wb_clk_i  " "    2.342               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400655 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102400655 ""}
20
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000            -306.000 wb_clk_i  " "   -3.000            -306.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102400670 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102400670 ""}
21
{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1424102400857 ""}
22
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1424102400889 ""}
23
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1424102401778 ""}
24
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401887 ""}
25
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1424102401903 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1424102401903 ""}
26
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -2.543 " "Worst-case setup slack is -2.543" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401918 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401918 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.543            -348.373 wb_clk_i  " "   -2.543            -348.373 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401918 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102401918 ""}
27
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.310 " "Worst-case hold slack is 0.310" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401934 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401934 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.310               0.000 wb_clk_i  " "    0.310               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401934 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102401934 ""}
28
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.156 " "Worst-case recovery slack is -2.156" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401949 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401949 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.156            -225.904 wb_clk_i  " "   -2.156            -225.904 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401949 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102401949 ""}
29
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 2.084 " "Worst-case removal slack is 2.084" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401965 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401965 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.084               0.000 wb_clk_i  " "    2.084               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401965 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102401965 ""}
30
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401981 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401981 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000            -306.000 wb_clk_i  " "   -3.000            -306.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102401981 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102401981 ""}
31
{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" {  } {  } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1424102402183 ""}
32
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402511 ""}
33
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1424102402511 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1424102402511 ""}
34
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.753 " "Worst-case setup slack is -1.753" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.753            -113.466 wb_clk_i  " "   -1.753            -113.466 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402527 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102402527 ""}
35
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.185 " "Worst-case hold slack is 0.185" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402558 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402558 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.185               0.000 wb_clk_i  " "    0.185               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402558 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102402558 ""}
36
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.085 " "Worst-case recovery slack is -1.085" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.085            -103.677 wb_clk_i  " "   -1.085            -103.677 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402573 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102402573 ""}
37
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.353 " "Worst-case removal slack is 1.353" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402589 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402589 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    1.353               0.000 wb_clk_i  " "    1.353               0.000 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402589 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102402589 ""}
38
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402605 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402605 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000            -326.495 wb_clk_i  " "   -3.000            -326.495 wb_clk_i " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1424102402605 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1424102402605 ""}
39
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1424102403665 ""}
40
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1424102403665 ""}
41
{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "540 " "Peak virtual memory: 540 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1424102403915 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Feb 16 11:00:03 2015 " "Processing ended: Mon Feb 16 11:00:03 2015" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1424102403915 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1424102403915 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1424102403915 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1424102403915 ""}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.