OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [output_files/] [wiegand_tx_top.sta.rpt] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
TimeQuest Timing Analyzer report for wiegand_tx_top
2
Mon Feb 16 11:00:03 2015
3
Quartus II 64-Bit Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. TimeQuest Timing Analyzer Summary
11
  3. Parallel Compilation
12
  4. Clocks
13
  5. Slow 1200mV 85C Model Fmax Summary
14
  6. Timing Closure Recommendations
15
  7. Slow 1200mV 85C Model Setup Summary
16
  8. Slow 1200mV 85C Model Hold Summary
17
  9. Slow 1200mV 85C Model Recovery Summary
18
 10. Slow 1200mV 85C Model Removal Summary
19
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
20
 12. Slow 1200mV 85C Model Setup: 'wb_clk_i'
21
 13. Slow 1200mV 85C Model Hold: 'wb_clk_i'
22
 14. Slow 1200mV 85C Model Recovery: 'wb_clk_i'
23
 15. Slow 1200mV 85C Model Removal: 'wb_clk_i'
24
 16. Slow 1200mV 85C Model Minimum Pulse Width: 'wb_clk_i'
25
 17. Setup Times
26
 18. Hold Times
27
 19. Clock to Output Times
28
 20. Minimum Clock to Output Times
29
 21. Propagation Delay
30
 22. Minimum Propagation Delay
31
 23. Slow 1200mV 85C Model Metastability Report
32
 24. Slow 1200mV 0C Model Fmax Summary
33
 25. Slow 1200mV 0C Model Setup Summary
34
 26. Slow 1200mV 0C Model Hold Summary
35
 27. Slow 1200mV 0C Model Recovery Summary
36
 28. Slow 1200mV 0C Model Removal Summary
37
 29. Slow 1200mV 0C Model Minimum Pulse Width Summary
38
 30. Slow 1200mV 0C Model Setup: 'wb_clk_i'
39
 31. Slow 1200mV 0C Model Hold: 'wb_clk_i'
40
 32. Slow 1200mV 0C Model Recovery: 'wb_clk_i'
41
 33. Slow 1200mV 0C Model Removal: 'wb_clk_i'
42
 34. Slow 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'
43
 35. Setup Times
44
 36. Hold Times
45
 37. Clock to Output Times
46
 38. Minimum Clock to Output Times
47
 39. Propagation Delay
48
 40. Minimum Propagation Delay
49
 41. Slow 1200mV 0C Model Metastability Report
50
 42. Fast 1200mV 0C Model Setup Summary
51
 43. Fast 1200mV 0C Model Hold Summary
52
 44. Fast 1200mV 0C Model Recovery Summary
53
 45. Fast 1200mV 0C Model Removal Summary
54
 46. Fast 1200mV 0C Model Minimum Pulse Width Summary
55
 47. Fast 1200mV 0C Model Setup: 'wb_clk_i'
56
 48. Fast 1200mV 0C Model Hold: 'wb_clk_i'
57
 49. Fast 1200mV 0C Model Recovery: 'wb_clk_i'
58
 50. Fast 1200mV 0C Model Removal: 'wb_clk_i'
59
 51. Fast 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'
60
 52. Setup Times
61
 53. Hold Times
62
 54. Clock to Output Times
63
 55. Minimum Clock to Output Times
64
 56. Propagation Delay
65
 57. Minimum Propagation Delay
66
 58. Fast 1200mV 0C Model Metastability Report
67
 59. Multicorner Timing Analysis Summary
68
 60. Setup Times
69
 61. Hold Times
70
 62. Clock to Output Times
71
 63. Minimum Clock to Output Times
72
 64. Propagation Delay
73
 65. Minimum Propagation Delay
74
 66. Board Trace Model Assignments
75
 67. Input Transition Times
76
 68. Signal Integrity Metrics (Slow 1200mv 0c Model)
77
 69. Signal Integrity Metrics (Slow 1200mv 85c Model)
78
 70. Signal Integrity Metrics (Fast 1200mv 0c Model)
79
 71. Setup Transfers
80
 72. Hold Transfers
81
 73. Recovery Transfers
82
 74. Removal Transfers
83
 75. Report TCCS
84
 76. Report RSKM
85
 77. Unconstrained Paths
86
 78. TimeQuest Timing Analyzer Messages
87
 
88
 
89
 
90
----------------
91
; Legal Notice ;
92
----------------
93
Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
94
Your use of Altera Corporation's design tools, logic functions
95
and other software and tools, and its AMPP partner logic
96
functions, and any output files from any of the foregoing
97
(including device programming or simulation files), and any
98
associated documentation or information are expressly subject
99
to the terms and conditions of the Altera Program License
100
Subscription Agreement, the Altera Quartus II License Agreement,
101
the Altera MegaCore Function License Agreement, or other
102
applicable license agreement, including, without limitation,
103
that your use is for the sole purpose of programming logic
104
devices manufactured by Altera and sold by Altera or its
105
authorized distributors.  Please refer to the applicable
106
agreement for further details.
107
 
108
 
109
 
110
+-------------------------------------------------------------------------+
111
; TimeQuest Timing Analyzer Summary                                       ;
112
+--------------------+----------------------------------------------------+
113
; Quartus II Version ; Version 14.0.0 Build 200 06/17/2014 SJ Web Edition ;
114
; Revision Name      ; wiegand_tx_top                                     ;
115
; Device Family      ; Cyclone IV GX                                      ;
116
; Device Name        ; EP4CGX22CF19C6                                     ;
117
; Timing Models      ; Final                                              ;
118
; Delay Model        ; Combined                                           ;
119
; Rise/Fall Delays   ; Enabled                                            ;
120
+--------------------+----------------------------------------------------+
121
 
122
 
123
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
124
+-------------------------------------+
125
; Parallel Compilation                ;
126
+----------------------------+--------+
127
; Processors                 ; Number ;
128
+----------------------------+--------+
129
; Number detected on machine ; 4      ;
130
; Maximum allowed            ; 1      ;
131
+----------------------------+--------+
132
 
133
 
134
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
135
; Clocks                                                                                                                                                                               ;
136
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+
137
; Clock Name ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets      ;
138
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+
139
; wb_clk_i   ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { wb_clk_i } ;
140
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+
141
 
142
 
143
+--------------------------------------------------+
144
; Slow 1200mV 85C Model Fmax Summary               ;
145
+------------+-----------------+------------+------+
146
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
147
+------------+-----------------+------------+------+
148
; 146.63 MHz ; 146.63 MHz      ; wb_clk_i   ;      ;
149
+------------+-----------------+------------+------+
150
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
151
 
152
 
153
----------------------------------
154
; Timing Closure Recommendations ;
155
----------------------------------
156
HTML report is unavailable in plain text report export.
157
 
158
 
159
+-------------------------------------+
160
; Slow 1200mV 85C Model Setup Summary ;
161
+----------+--------+-----------------+
162
; Clock    ; Slack  ; End Point TNS   ;
163
+----------+--------+-----------------+
164
; wb_clk_i ; -2.910 ; -416.889        ;
165
+----------+--------+-----------------+
166
 
167
 
168
+------------------------------------+
169
; Slow 1200mV 85C Model Hold Summary ;
170
+----------+-------+-----------------+
171
; Clock    ; Slack ; End Point TNS   ;
172
+----------+-------+-----------------+
173
; wb_clk_i ; 0.355 ; 0.000           ;
174
+----------+-------+-----------------+
175
 
176
 
177
+----------------------------------------+
178
; Slow 1200mV 85C Model Recovery Summary ;
179
+----------+--------+--------------------+
180
; Clock    ; Slack  ; End Point TNS      ;
181
+----------+--------+--------------------+
182
; wb_clk_i ; -2.528 ; -267.492           ;
183
+----------+--------+--------------------+
184
 
185
 
186
+---------------------------------------+
187
; Slow 1200mV 85C Model Removal Summary ;
188
+----------+-------+--------------------+
189
; Clock    ; Slack ; End Point TNS      ;
190
+----------+-------+--------------------+
191
; wb_clk_i ; 2.342 ; 0.000              ;
192
+----------+-------+--------------------+
193
 
194
 
195
+---------------------------------------------------+
196
; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
197
+----------+--------+-------------------------------+
198
; Clock    ; Slack  ; End Point TNS                 ;
199
+----------+--------+-------------------------------+
200
; wb_clk_i ; -3.000 ; -306.000                      ;
201
+----------+--------+-------------------------------+
202
 
203
 
204
+------------------------------------------------------------------------------------------------------------------------------------------+
205
; Slow 1200mV 85C Model Setup: 'wb_clk_i'                                                                                                  ;
206
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
207
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
208
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
209
; -2.910 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.215      ;
210
; -2.895 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.200      ;
211
; -2.803 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.733      ;
212
; -2.801 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.731      ;
213
; -2.801 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.731      ;
214
; -2.790 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.720      ;
215
; -2.788 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.718      ;
216
; -2.788 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.718      ;
217
; -2.757 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 3.036      ;
218
; -2.742 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 3.021      ;
219
; -2.724 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.030      ;
220
; -2.723 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.029      ;
221
; -2.712 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.017      ;
222
; -2.709 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.639      ;
223
; -2.709 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.015      ;
224
; -2.708 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.014      ;
225
; -2.697 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.002      ;
226
; -2.696 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.626      ;
227
; -2.672 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.951      ;
228
; -2.671 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.977      ;
229
; -2.669 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.975      ;
230
; -2.669 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.975      ;
231
; -2.664 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.969      ;
232
; -2.657 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.936      ;
233
; -2.649 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.954      ;
234
; -2.643 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.949      ;
235
; -2.641 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.947      ;
236
; -2.641 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.947      ;
237
; -2.635 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.941      ;
238
; -2.633 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.939      ;
239
; -2.633 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.939      ;
240
; -2.616 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.895      ;
241
; -2.613 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.919      ;
242
; -2.612 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.891      ;
243
; -2.612 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.918      ;
244
; -2.611 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.917      ;
245
; -2.611 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.917      ;
246
; -2.610 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
247
; -2.610 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
248
; -2.610 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
249
; -2.608 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.914      ;
250
; -2.608 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.914      ;
251
; -2.604 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.883      ;
252
; -2.600 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.879      ;
253
; -2.596 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.521      ;
254
; -2.594 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.519      ;
255
; -2.594 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.519      ;
256
; -2.591 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.870      ;
257
; -2.591 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.870      ;
258
; -2.582 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.861      ;
259
; -2.576 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.855      ;
260
; -2.576 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.855      ;
261
; -2.576 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.501      ;
262
; -2.574 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.499      ;
263
; -2.574 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.499      ;
264
; -2.567 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.846      ;
265
; -2.553 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.478      ;
266
; -2.551 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.481      ;
267
; -2.551 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.476      ;
268
; -2.551 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.476      ;
269
; -2.549 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.479      ;
270
; -2.549 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.479      ;
271
; -2.548 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.477      ;
272
; -2.546 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.475      ;
273
; -2.546 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.475      ;
274
; -2.537 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.462      ;
275
; -2.535 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.460      ;
276
; -2.535 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.460      ;
277
; -2.529 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.834      ;
278
; -2.526 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.832      ;
279
; -2.524 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.830      ;
280
; -2.524 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.830      ;
281
; -2.516 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.821      ;
282
; -2.514 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.819      ;
283
; -2.508 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.814      ;
284
; -2.507 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.813      ;
285
; -2.506 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.812      ;
286
; -2.506 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.812      ;
287
; -2.505 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.811      ;
288
; -2.505 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.811      ;
289
; -2.503 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.782      ;
290
; -2.502 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.808      ;
291
; -2.501 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.808      ;
292
; -2.501 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.806      ;
293
; -2.500 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.806      ;
294
; -2.500 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.806      ;
295
; -2.495 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.802      ;
296
; -2.493 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.798      ;
297
; -2.492 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.771      ;
298
; -2.489 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.796      ;
299
; -2.489 ; wb_interface_wieg:wb_interface|p2p[4]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.794      ;
300
; -2.488 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.767      ;
301
; -2.487 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.793      ;
302
; -2.485 ; pulseCnt[12]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.791      ;
303
; -2.485 ; pulseCnt[12]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.791      ;
304
; -2.485 ; wb_interface_wieg:wb_interface|p2p[8]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.790      ;
305
; -2.484 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.791      ;
306
; -2.481 ; bitCount[5]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.760      ;
307
; -2.481 ; pulseCnt[26]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.411      ;
308
; -2.479 ; pulseCnt[26]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.409      ;
309
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
310
 
311
 
312
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
313
; Slow 1200mV 85C Model Hold: 'wb_clk_i'                                                                                                                                                                                                         ;
314
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
315
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
316
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
317
; 0.355 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.577      ;
318
; 0.356 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
319
; 0.356 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
320
; 0.356 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
321
; 0.356 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
322
; 0.356 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
323
; 0.369 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.591      ;
324
; 0.371 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.592      ;
325
; 0.371 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.592      ;
326
; 0.372 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.608      ;
327
; 0.388 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.608      ;
328
; 0.399 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.427      ; 0.983      ;
329
; 0.473 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.069      ;
330
; 0.474 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.070      ;
331
; 0.479 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.701      ;
332
; 0.479 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.701      ;
333
; 0.479 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.700      ;
334
; 0.479 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.700      ;
335
; 0.480 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.701      ;
336
; 0.480 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.701      ;
337
; 0.481 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
338
; 0.481 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
339
; 0.481 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
340
; 0.481 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
341
; 0.481 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
342
; 0.486 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.083      ;
343
; 0.490 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.086      ;
344
; 0.504 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.740      ;
345
; 0.518 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.739      ;
346
; 0.518 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.739      ;
347
; 0.522 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.744      ;
348
; 0.534 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.755      ;
349
; 0.558 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.794      ;
350
; 0.559 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.794      ;
351
; 0.560 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.795      ;
352
; 0.561 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.796      ;
353
; 0.562 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.797      ;
354
; 0.562 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.797      ;
355
; 0.564 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.785      ;
356
; 0.570 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.792      ;
357
; 0.570 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.791      ;
358
; 0.571 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.792      ;
359
; 0.572 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.793      ;
360
; 0.572 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.792      ;
361
; 0.573 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.794      ;
362
; 0.573 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.794      ;
363
; 0.573 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
364
; 0.573 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
365
; 0.573 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
366
; 0.573 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
367
; 0.573 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
368
; 0.574 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
369
; 0.574 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
370
; 0.574 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
371
; 0.574 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
372
; 0.574 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
373
; 0.575 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
374
; 0.575 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
375
; 0.575 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
376
; 0.575 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
377
; 0.575 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
378
; 0.575 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
379
; 0.575 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
380
; 0.575 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
381
; 0.575 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
382
; 0.575 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
383
; 0.575 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
384
; 0.575 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
385
; 0.576 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
386
; 0.576 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
387
; 0.576 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
388
; 0.576 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
389
; 0.576 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
390
; 0.576 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
391
; 0.576 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
392
; 0.576 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
393
; 0.576 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
394
; 0.576 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
395
; 0.577 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.797      ;
396
; 0.578 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.798      ;
397
; 0.579 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.799      ;
398
; 0.581 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
399
; 0.581 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
400
; 0.581 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
401
; 0.581 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.178      ;
402
; 0.583 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.803      ;
403
; 0.584 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.180      ;
404
; 0.585 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.181      ;
405
; 0.585 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.181      ;
406
; 0.586 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.182      ;
407
; 0.594 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.814      ;
408
; 0.594 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.814      ;
409
; 0.595 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.816      ;
410
; 0.596 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.817      ;
411
; 0.596 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.816      ;
412
; 0.598 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.195      ;
413
; 0.601 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.197      ;
414
; 0.602 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.198      ;
415
; 0.614 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.836      ;
416
; 0.637 ; word_out[29]                                                                                ; word_out[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.859      ;
417
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
418
 
419
 
420
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
421
; Slow 1200mV 85C Model Recovery: 'wb_clk_i'                                                                                                                                                                          ;
422
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
423
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
424
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
425
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
426
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
427
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
428
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
429
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
430
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
431
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
432
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
433
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
434
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
435
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
436
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
437
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
438
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
439
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
440
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
441
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
442
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
443
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
444
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
445
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
446
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
447
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
448
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
449
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
450
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
451
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
452
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
453
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
454
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
455
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
456
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
457
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
458
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
459
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
460
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
461
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
462
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
463
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
464
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
465
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
466
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
467
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
468
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
469
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
470
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
471
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
472
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
473
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
474
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
475
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
476
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
477
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
478
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
479
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
480
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
481
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
482
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
483
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
484
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
485
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
486
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
487
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
488
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
489
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
490
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
491
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
492
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
493
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
494
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
495
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
496
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
497
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
498
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
499
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
500
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
501
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
502
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
503
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
504
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
505
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
506
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
507
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
508
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
509
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
510
; -1.830 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.276      ; 3.101      ;
511
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
512
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
513
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
514
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
515
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
516
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
517
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
518
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
519
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
520
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
521
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
522
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
523
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
524
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
525
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
526
 
527
 
528
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
529
; Slow 1200mV 85C Model Removal: 'wb_clk_i'                                                                                                                                                                          ;
530
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
531
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
532
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
533
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
534
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
535
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
536
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
537
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
538
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
539
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
540
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
541
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
542
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
543
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
544
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
545
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
546
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
547
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
548
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
549
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
550
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
551
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
552
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
553
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
554
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
555
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
556
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
557
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
558
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
559
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
560
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
561
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
562
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
563
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
564
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
565
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
566
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
567
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
568
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
569
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
570
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
571
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
572
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
573
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
574
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
575
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
576
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
577
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
578
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
579
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
580
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
581
; 2.358 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.457      ; 2.972      ;
582
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
583
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
584
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
585
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
586
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
587
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
588
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
589
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
590
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
591
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
592
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
593
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
594
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
595
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
596
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
597
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
598
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
599
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
600
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
601
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
602
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
603
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
604
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
605
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
606
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
607
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
608
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
609
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
610
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
611
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
612
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
613
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
614
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
615
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
616
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
617
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
618
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
619
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
620
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
621
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
622
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
623
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
624
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
625
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
626
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
627
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
628
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
629
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
630
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
631
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
632
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.085      ; 2.972      ;
633
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
634
 
635
 
636
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
637
; Slow 1200mV 85C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                     ;
638
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
639
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
640
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
641
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
642
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
643
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
644
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
645
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
646
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
647
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
648
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
649
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
650
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
651
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
652
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
653
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
654
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
655
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
656
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
657
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
658
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
659
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
660
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
661
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
662
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
663
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
664
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
665
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
666
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
667
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
668
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
669
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
670
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
671
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
672
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
673
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
674
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
675
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
676
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
677
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
678
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
679
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
680
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
681
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
682
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
683
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
684
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
685
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
686
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
687
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
688
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
689
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
690
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
691
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
692
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
693
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
694
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
695
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
696
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
697
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
698
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
699
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
700
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
701
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
702
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
703
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
704
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
705
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
706
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
707
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
708
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
709
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
710
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
711
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
712
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
713
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
714
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
715
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
716
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
717
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
718
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
719
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
720
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
721
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
722
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
723
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
724
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
725
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
726
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
727
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
728
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
729
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
730
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
731
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
732
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
733
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
734
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
735
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
736
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
737
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
738
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
739
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
740
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
741
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
742
 
743
 
744
+---------------------------------------------------------------------------+
745
; Setup Times                                                               ;
746
+---------------+------------+-------+-------+------------+-----------------+
747
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
748
+---------------+------------+-------+-------+------------+-----------------+
749
; wb_adr_i[*]   ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
750
;  wb_adr_i[0]  ; wb_clk_i   ; 2.609 ; 3.032 ; Rise       ; wb_clk_i        ;
751
;  wb_adr_i[2]  ; wb_clk_i   ; 3.998 ; 4.341 ; Rise       ; wb_clk_i        ;
752
;  wb_adr_i[3]  ; wb_clk_i   ; 3.819 ; 4.170 ; Rise       ; wb_clk_i        ;
753
;  wb_adr_i[4]  ; wb_clk_i   ; 3.952 ; 4.323 ; Rise       ; wb_clk_i        ;
754
;  wb_adr_i[5]  ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
755
; wb_cyc_i      ; wb_clk_i   ; 2.965 ; 3.470 ; Rise       ; wb_clk_i        ;
756
; wb_stb_i      ; wb_clk_i   ; 3.221 ; 3.760 ; Rise       ; wb_clk_i        ;
757
; wb_we_i       ; wb_clk_i   ; 2.542 ; 3.015 ; Rise       ; wb_clk_i        ;
758
; wb_adr_i[*]   ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
759
;  wb_adr_i[0]  ; wb_clk_i   ; 5.159 ; 5.598 ; Fall       ; wb_clk_i        ;
760
;  wb_adr_i[1]  ; wb_clk_i   ; 5.183 ; 5.696 ; Fall       ; wb_clk_i        ;
761
;  wb_adr_i[2]  ; wb_clk_i   ; 6.886 ; 7.254 ; Fall       ; wb_clk_i        ;
762
;  wb_adr_i[3]  ; wb_clk_i   ; 6.707 ; 7.083 ; Fall       ; wb_clk_i        ;
763
;  wb_adr_i[4]  ; wb_clk_i   ; 6.840 ; 7.236 ; Fall       ; wb_clk_i        ;
764
;  wb_adr_i[5]  ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
765
; wb_cyc_i      ; wb_clk_i   ; 4.445 ; 4.921 ; Fall       ; wb_clk_i        ;
766
; wb_dat_i[*]   ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
767
;  wb_dat_i[0]  ; wb_clk_i   ; 2.257 ; 2.702 ; Fall       ; wb_clk_i        ;
768
;  wb_dat_i[1]  ; wb_clk_i   ; 1.756 ; 2.192 ; Fall       ; wb_clk_i        ;
769
;  wb_dat_i[2]  ; wb_clk_i   ; 1.621 ; 2.053 ; Fall       ; wb_clk_i        ;
770
;  wb_dat_i[3]  ; wb_clk_i   ; 2.237 ; 2.695 ; Fall       ; wb_clk_i        ;
771
;  wb_dat_i[4]  ; wb_clk_i   ; 2.285 ; 2.765 ; Fall       ; wb_clk_i        ;
772
;  wb_dat_i[5]  ; wb_clk_i   ; 2.012 ; 2.474 ; Fall       ; wb_clk_i        ;
773
;  wb_dat_i[6]  ; wb_clk_i   ; 2.596 ; 3.158 ; Fall       ; wb_clk_i        ;
774
;  wb_dat_i[7]  ; wb_clk_i   ; 2.003 ; 2.461 ; Fall       ; wb_clk_i        ;
775
;  wb_dat_i[8]  ; wb_clk_i   ; 2.482 ; 2.978 ; Fall       ; wb_clk_i        ;
776
;  wb_dat_i[9]  ; wb_clk_i   ; 2.028 ; 2.529 ; Fall       ; wb_clk_i        ;
777
;  wb_dat_i[10] ; wb_clk_i   ; 2.508 ; 3.004 ; Fall       ; wb_clk_i        ;
778
;  wb_dat_i[11] ; wb_clk_i   ; 2.265 ; 2.751 ; Fall       ; wb_clk_i        ;
779
;  wb_dat_i[12] ; wb_clk_i   ; 1.957 ; 2.467 ; Fall       ; wb_clk_i        ;
780
;  wb_dat_i[13] ; wb_clk_i   ; 2.611 ; 3.125 ; Fall       ; wb_clk_i        ;
781
;  wb_dat_i[14] ; wb_clk_i   ; 2.463 ; 2.979 ; Fall       ; wb_clk_i        ;
782
;  wb_dat_i[15] ; wb_clk_i   ; 2.188 ; 2.670 ; Fall       ; wb_clk_i        ;
783
;  wb_dat_i[16] ; wb_clk_i   ; 2.224 ; 2.706 ; Fall       ; wb_clk_i        ;
784
;  wb_dat_i[17] ; wb_clk_i   ; 2.408 ; 2.896 ; Fall       ; wb_clk_i        ;
785
;  wb_dat_i[18] ; wb_clk_i   ; 2.234 ; 2.729 ; Fall       ; wb_clk_i        ;
786
;  wb_dat_i[19] ; wb_clk_i   ; 2.261 ; 2.728 ; Fall       ; wb_clk_i        ;
787
;  wb_dat_i[20] ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
788
;  wb_dat_i[21] ; wb_clk_i   ; 2.340 ; 2.855 ; Fall       ; wb_clk_i        ;
789
;  wb_dat_i[22] ; wb_clk_i   ; 1.268 ; 1.701 ; Fall       ; wb_clk_i        ;
790
;  wb_dat_i[23] ; wb_clk_i   ; 2.031 ; 2.446 ; Fall       ; wb_clk_i        ;
791
;  wb_dat_i[24] ; wb_clk_i   ; 2.343 ; 2.821 ; Fall       ; wb_clk_i        ;
792
;  wb_dat_i[25] ; wb_clk_i   ; 1.949 ; 2.455 ; Fall       ; wb_clk_i        ;
793
;  wb_dat_i[26] ; wb_clk_i   ; 2.045 ; 2.582 ; Fall       ; wb_clk_i        ;
794
;  wb_dat_i[27] ; wb_clk_i   ; 2.114 ; 2.612 ; Fall       ; wb_clk_i        ;
795
;  wb_dat_i[28] ; wb_clk_i   ; 1.852 ; 2.286 ; Fall       ; wb_clk_i        ;
796
;  wb_dat_i[29] ; wb_clk_i   ; 2.207 ; 2.723 ; Fall       ; wb_clk_i        ;
797
;  wb_dat_i[30] ; wb_clk_i   ; 2.405 ; 2.946 ; Fall       ; wb_clk_i        ;
798
;  wb_dat_i[31] ; wb_clk_i   ; 2.763 ; 3.274 ; Fall       ; wb_clk_i        ;
799
; wb_stb_i      ; wb_clk_i   ; 4.701 ; 5.211 ; Fall       ; wb_clk_i        ;
800
; wb_we_i       ; wb_clk_i   ; 3.637 ; 4.053 ; Fall       ; wb_clk_i        ;
801
+---------------+------------+-------+-------+------------+-----------------+
802
 
803
 
804
+-----------------------------------------------------------------------------+
805
; Hold Times                                                                  ;
806
+---------------+------------+--------+--------+------------+-----------------+
807
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
808
+---------------+------------+--------+--------+------------+-----------------+
809
; wb_adr_i[*]   ; wb_clk_i   ; -1.504 ; -1.916 ; Rise       ; wb_clk_i        ;
810
;  wb_adr_i[0]  ; wb_clk_i   ; -1.504 ; -1.916 ; Rise       ; wb_clk_i        ;
811
;  wb_adr_i[2]  ; wb_clk_i   ; -3.292 ; -3.644 ; Rise       ; wb_clk_i        ;
812
;  wb_adr_i[3]  ; wb_clk_i   ; -3.120 ; -3.480 ; Rise       ; wb_clk_i        ;
813
;  wb_adr_i[4]  ; wb_clk_i   ; -3.247 ; -3.627 ; Rise       ; wb_clk_i        ;
814
;  wb_adr_i[5]  ; wb_clk_i   ; -3.555 ; -3.913 ; Rise       ; wb_clk_i        ;
815
; wb_cyc_i      ; wb_clk_i   ; -1.845 ; -2.334 ; Rise       ; wb_clk_i        ;
816
; wb_stb_i      ; wb_clk_i   ; -2.079 ; -2.585 ; Rise       ; wb_clk_i        ;
817
; wb_we_i       ; wb_clk_i   ; -1.438 ; -1.895 ; Rise       ; wb_clk_i        ;
818
; wb_adr_i[*]   ; wb_clk_i   ; -2.051 ; -2.507 ; Fall       ; wb_clk_i        ;
819
;  wb_adr_i[0]  ; wb_clk_i   ; -2.051 ; -2.507 ; Fall       ; wb_clk_i        ;
820
;  wb_adr_i[1]  ; wb_clk_i   ; -2.723 ; -3.240 ; Fall       ; wb_clk_i        ;
821
;  wb_adr_i[2]  ; wb_clk_i   ; -4.433 ; -4.785 ; Fall       ; wb_clk_i        ;
822
;  wb_adr_i[3]  ; wb_clk_i   ; -4.261 ; -4.621 ; Fall       ; wb_clk_i        ;
823
;  wb_adr_i[4]  ; wb_clk_i   ; -4.388 ; -4.768 ; Fall       ; wb_clk_i        ;
824
;  wb_adr_i[5]  ; wb_clk_i   ; -4.696 ; -5.054 ; Fall       ; wb_clk_i        ;
825
; wb_cyc_i      ; wb_clk_i   ; -2.673 ; -3.178 ; Fall       ; wb_clk_i        ;
826
; wb_dat_i[*]   ; wb_clk_i   ; -0.472 ; -0.879 ; Fall       ; wb_clk_i        ;
827
;  wb_dat_i[0]  ; wb_clk_i   ; -0.778 ; -1.177 ; Fall       ; wb_clk_i        ;
828
;  wb_dat_i[1]  ; wb_clk_i   ; -0.530 ; -0.940 ; Fall       ; wb_clk_i        ;
829
;  wb_dat_i[2]  ; wb_clk_i   ; -0.727 ; -1.160 ; Fall       ; wb_clk_i        ;
830
;  wb_dat_i[3]  ; wb_clk_i   ; -0.668 ; -1.098 ; Fall       ; wb_clk_i        ;
831
;  wb_dat_i[4]  ; wb_clk_i   ; -1.120 ; -1.587 ; Fall       ; wb_clk_i        ;
832
;  wb_dat_i[5]  ; wb_clk_i   ; -0.472 ; -0.879 ; Fall       ; wb_clk_i        ;
833
;  wb_dat_i[6]  ; wb_clk_i   ; -1.471 ; -1.979 ; Fall       ; wb_clk_i        ;
834
;  wb_dat_i[7]  ; wb_clk_i   ; -0.826 ; -1.239 ; Fall       ; wb_clk_i        ;
835
;  wb_dat_i[8]  ; wb_clk_i   ; -0.999 ; -1.463 ; Fall       ; wb_clk_i        ;
836
;  wb_dat_i[9]  ; wb_clk_i   ; -1.059 ; -1.538 ; Fall       ; wb_clk_i        ;
837
;  wb_dat_i[10] ; wb_clk_i   ; -1.640 ; -2.118 ; Fall       ; wb_clk_i        ;
838
;  wb_dat_i[11] ; wb_clk_i   ; -1.298 ; -1.767 ; Fall       ; wb_clk_i        ;
839
;  wb_dat_i[12] ; wb_clk_i   ; -0.989 ; -1.455 ; Fall       ; wb_clk_i        ;
840
;  wb_dat_i[13] ; wb_clk_i   ; -1.344 ; -1.823 ; Fall       ; wb_clk_i        ;
841
;  wb_dat_i[14] ; wb_clk_i   ; -1.161 ; -1.630 ; Fall       ; wb_clk_i        ;
842
;  wb_dat_i[15] ; wb_clk_i   ; -1.050 ; -1.532 ; Fall       ; wb_clk_i        ;
843
;  wb_dat_i[16] ; wb_clk_i   ; -1.375 ; -1.843 ; Fall       ; wb_clk_i        ;
844
;  wb_dat_i[17] ; wb_clk_i   ; -1.180 ; -1.617 ; Fall       ; wb_clk_i        ;
845
;  wb_dat_i[18] ; wb_clk_i   ; -1.189 ; -1.663 ; Fall       ; wb_clk_i        ;
846
;  wb_dat_i[19] ; wb_clk_i   ; -1.221 ; -1.675 ; Fall       ; wb_clk_i        ;
847
;  wb_dat_i[20] ; wb_clk_i   ; -1.608 ; -2.085 ; Fall       ; wb_clk_i        ;
848
;  wb_dat_i[21] ; wb_clk_i   ; -1.397 ; -1.886 ; Fall       ; wb_clk_i        ;
849
;  wb_dat_i[22] ; wb_clk_i   ; -0.811 ; -1.209 ; Fall       ; wb_clk_i        ;
850
;  wb_dat_i[23] ; wb_clk_i   ; -1.058 ; -1.440 ; Fall       ; wb_clk_i        ;
851
;  wb_dat_i[24] ; wb_clk_i   ; -0.922 ; -1.377 ; Fall       ; wb_clk_i        ;
852
;  wb_dat_i[25] ; wb_clk_i   ; -1.058 ; -1.553 ; Fall       ; wb_clk_i        ;
853
;  wb_dat_i[26] ; wb_clk_i   ; -1.083 ; -1.586 ; Fall       ; wb_clk_i        ;
854
;  wb_dat_i[27] ; wb_clk_i   ; -1.180 ; -1.679 ; Fall       ; wb_clk_i        ;
855
;  wb_dat_i[28] ; wb_clk_i   ; -0.781 ; -1.202 ; Fall       ; wb_clk_i        ;
856
;  wb_dat_i[29] ; wb_clk_i   ; -1.011 ; -1.495 ; Fall       ; wb_clk_i        ;
857
;  wb_dat_i[30] ; wb_clk_i   ; -1.209 ; -1.725 ; Fall       ; wb_clk_i        ;
858
;  wb_dat_i[31] ; wb_clk_i   ; -1.921 ; -2.408 ; Fall       ; wb_clk_i        ;
859
; wb_stb_i      ; wb_clk_i   ; -2.897 ; -3.414 ; Fall       ; wb_clk_i        ;
860
; wb_we_i       ; wb_clk_i   ; -1.896 ; -2.342 ; Fall       ; wb_clk_i        ;
861
+---------------+------------+--------+--------+------------+-----------------+
862
 
863
 
864
+---------------------------------------------------------------------------+
865
; Clock to Output Times                                                     ;
866
+---------------+------------+-------+-------+------------+-----------------+
867
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
868
+---------------+------------+-------+-------+------------+-----------------+
869
; wb_ack_o      ; wb_clk_i   ; 7.153 ; 7.137 ; Rise       ; wb_clk_i        ;
870
; wb_err_o      ; wb_clk_i   ; 6.848 ; 6.787 ; Rise       ; wb_clk_i        ;
871
; wb_rty_o      ; wb_clk_i   ; 6.313 ; 6.240 ; Rise       ; wb_clk_i        ;
872
; one_o         ; wb_clk_i   ; 7.107 ; 7.085 ; Fall       ; wb_clk_i        ;
873
; wb_dat_o[*]   ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
874
;  wb_dat_o[0]  ; wb_clk_i   ; 7.960 ; 7.849 ; Fall       ; wb_clk_i        ;
875
;  wb_dat_o[1]  ; wb_clk_i   ; 9.112 ; 9.007 ; Fall       ; wb_clk_i        ;
876
;  wb_dat_o[2]  ; wb_clk_i   ; 8.506 ; 8.564 ; Fall       ; wb_clk_i        ;
877
;  wb_dat_o[3]  ; wb_clk_i   ; 8.344 ; 8.203 ; Fall       ; wb_clk_i        ;
878
;  wb_dat_o[4]  ; wb_clk_i   ; 8.770 ; 8.747 ; Fall       ; wb_clk_i        ;
879
;  wb_dat_o[5]  ; wb_clk_i   ; 8.249 ; 8.195 ; Fall       ; wb_clk_i        ;
880
;  wb_dat_o[6]  ; wb_clk_i   ; 8.886 ; 8.904 ; Fall       ; wb_clk_i        ;
881
;  wb_dat_o[7]  ; wb_clk_i   ; 9.101 ; 9.109 ; Fall       ; wb_clk_i        ;
882
;  wb_dat_o[8]  ; wb_clk_i   ; 8.056 ; 7.989 ; Fall       ; wb_clk_i        ;
883
;  wb_dat_o[9]  ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
884
;  wb_dat_o[10] ; wb_clk_i   ; 9.241 ; 9.242 ; Fall       ; wb_clk_i        ;
885
;  wb_dat_o[11] ; wb_clk_i   ; 8.368 ; 8.358 ; Fall       ; wb_clk_i        ;
886
;  wb_dat_o[12] ; wb_clk_i   ; 7.338 ; 7.265 ; Fall       ; wb_clk_i        ;
887
;  wb_dat_o[13] ; wb_clk_i   ; 7.357 ; 7.271 ; Fall       ; wb_clk_i        ;
888
;  wb_dat_o[14] ; wb_clk_i   ; 8.597 ; 8.624 ; Fall       ; wb_clk_i        ;
889
;  wb_dat_o[15] ; wb_clk_i   ; 8.345 ; 8.393 ; Fall       ; wb_clk_i        ;
890
;  wb_dat_o[16] ; wb_clk_i   ; 7.924 ; 7.985 ; Fall       ; wb_clk_i        ;
891
;  wb_dat_o[17] ; wb_clk_i   ; 8.069 ; 8.073 ; Fall       ; wb_clk_i        ;
892
;  wb_dat_o[18] ; wb_clk_i   ; 8.883 ; 8.880 ; Fall       ; wb_clk_i        ;
893
;  wb_dat_o[19] ; wb_clk_i   ; 6.587 ; 6.542 ; Fall       ; wb_clk_i        ;
894
;  wb_dat_o[20] ; wb_clk_i   ; 7.407 ; 7.373 ; Fall       ; wb_clk_i        ;
895
;  wb_dat_o[21] ; wb_clk_i   ; 6.854 ; 6.784 ; Fall       ; wb_clk_i        ;
896
;  wb_dat_o[22] ; wb_clk_i   ; 7.919 ; 7.943 ; Fall       ; wb_clk_i        ;
897
;  wb_dat_o[23] ; wb_clk_i   ; 7.822 ; 7.724 ; Fall       ; wb_clk_i        ;
898
;  wb_dat_o[24] ; wb_clk_i   ; 7.611 ; 7.536 ; Fall       ; wb_clk_i        ;
899
;  wb_dat_o[25] ; wb_clk_i   ; 9.170 ; 9.145 ; Fall       ; wb_clk_i        ;
900
;  wb_dat_o[26] ; wb_clk_i   ; 8.203 ; 8.254 ; Fall       ; wb_clk_i        ;
901
;  wb_dat_o[27] ; wb_clk_i   ; 7.847 ; 7.892 ; Fall       ; wb_clk_i        ;
902
;  wb_dat_o[28] ; wb_clk_i   ; 8.114 ; 8.148 ; Fall       ; wb_clk_i        ;
903
;  wb_dat_o[29] ; wb_clk_i   ; 8.109 ; 8.120 ; Fall       ; wb_clk_i        ;
904
;  wb_dat_o[30] ; wb_clk_i   ; 7.405 ; 7.458 ; Fall       ; wb_clk_i        ;
905
;  wb_dat_o[31] ; wb_clk_i   ; 7.164 ; 7.160 ; Fall       ; wb_clk_i        ;
906
; zero_o        ; wb_clk_i   ; 9.140 ; 9.037 ; Fall       ; wb_clk_i        ;
907
+---------------+------------+-------+-------+------------+-----------------+
908
 
909
 
910
+---------------------------------------------------------------------------+
911
; Minimum Clock to Output Times                                             ;
912
+---------------+------------+-------+-------+------------+-----------------+
913
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
914
+---------------+------------+-------+-------+------------+-----------------+
915
; wb_ack_o      ; wb_clk_i   ; 6.945 ; 6.928 ; Rise       ; wb_clk_i        ;
916
; wb_err_o      ; wb_clk_i   ; 6.619 ; 6.557 ; Rise       ; wb_clk_i        ;
917
; wb_rty_o      ; wb_clk_i   ; 6.105 ; 6.030 ; Rise       ; wb_clk_i        ;
918
; one_o         ; wb_clk_i   ; 6.872 ; 6.855 ; Fall       ; wb_clk_i        ;
919
; wb_dat_o[*]   ; wb_clk_i   ; 6.163 ; 6.053 ; Fall       ; wb_clk_i        ;
920
;  wb_dat_o[0]  ; wb_clk_i   ; 7.124 ; 7.058 ; Fall       ; wb_clk_i        ;
921
;  wb_dat_o[1]  ; wb_clk_i   ; 6.535 ; 6.469 ; Fall       ; wb_clk_i        ;
922
;  wb_dat_o[2]  ; wb_clk_i   ; 7.844 ; 7.805 ; Fall       ; wb_clk_i        ;
923
;  wb_dat_o[3]  ; wb_clk_i   ; 6.629 ; 6.565 ; Fall       ; wb_clk_i        ;
924
;  wb_dat_o[4]  ; wb_clk_i   ; 7.144 ; 7.027 ; Fall       ; wb_clk_i        ;
925
;  wb_dat_o[5]  ; wb_clk_i   ; 6.787 ; 6.709 ; Fall       ; wb_clk_i        ;
926
;  wb_dat_o[6]  ; wb_clk_i   ; 7.379 ; 7.340 ; Fall       ; wb_clk_i        ;
927
;  wb_dat_o[7]  ; wb_clk_i   ; 7.758 ; 7.750 ; Fall       ; wb_clk_i        ;
928
;  wb_dat_o[8]  ; wb_clk_i   ; 6.962 ; 6.842 ; Fall       ; wb_clk_i        ;
929
;  wb_dat_o[9]  ; wb_clk_i   ; 8.453 ; 8.426 ; Fall       ; wb_clk_i        ;
930
;  wb_dat_o[10] ; wb_clk_i   ; 8.664 ; 8.592 ; Fall       ; wb_clk_i        ;
931
;  wb_dat_o[11] ; wb_clk_i   ; 7.948 ; 7.897 ; Fall       ; wb_clk_i        ;
932
;  wb_dat_o[12] ; wb_clk_i   ; 6.522 ; 6.404 ; Fall       ; wb_clk_i        ;
933
;  wb_dat_o[13] ; wb_clk_i   ; 6.560 ; 6.443 ; Fall       ; wb_clk_i        ;
934
;  wb_dat_o[14] ; wb_clk_i   ; 8.048 ; 8.000 ; Fall       ; wb_clk_i        ;
935
;  wb_dat_o[15] ; wb_clk_i   ; 7.911 ; 7.923 ; Fall       ; wb_clk_i        ;
936
;  wb_dat_o[16] ; wb_clk_i   ; 7.398 ; 7.383 ; Fall       ; wb_clk_i        ;
937
;  wb_dat_o[17] ; wb_clk_i   ; 7.782 ; 7.728 ; Fall       ; wb_clk_i        ;
938
;  wb_dat_o[18] ; wb_clk_i   ; 8.562 ; 8.502 ; Fall       ; wb_clk_i        ;
939
;  wb_dat_o[19] ; wb_clk_i   ; 6.163 ; 6.053 ; Fall       ; wb_clk_i        ;
940
;  wb_dat_o[20] ; wb_clk_i   ; 6.885 ; 6.777 ; Fall       ; wb_clk_i        ;
941
;  wb_dat_o[21] ; wb_clk_i   ; 6.612 ; 6.487 ; Fall       ; wb_clk_i        ;
942
;  wb_dat_o[22] ; wb_clk_i   ; 7.469 ; 7.486 ; Fall       ; wb_clk_i        ;
943
;  wb_dat_o[23] ; wb_clk_i   ; 6.789 ; 6.658 ; Fall       ; wb_clk_i        ;
944
;  wb_dat_o[24] ; wb_clk_i   ; 6.792 ; 6.674 ; Fall       ; wb_clk_i        ;
945
;  wb_dat_o[25] ; wb_clk_i   ; 8.477 ; 8.405 ; Fall       ; wb_clk_i        ;
946
;  wb_dat_o[26] ; wb_clk_i   ; 7.669 ; 7.642 ; Fall       ; wb_clk_i        ;
947
;  wb_dat_o[27] ; wb_clk_i   ; 7.368 ; 7.345 ; Fall       ; wb_clk_i        ;
948
;  wb_dat_o[28] ; wb_clk_i   ; 7.574 ; 7.528 ; Fall       ; wb_clk_i        ;
949
;  wb_dat_o[29] ; wb_clk_i   ; 7.816 ; 7.770 ; Fall       ; wb_clk_i        ;
950
;  wb_dat_o[30] ; wb_clk_i   ; 7.144 ; 7.146 ; Fall       ; wb_clk_i        ;
951
;  wb_dat_o[31] ; wb_clk_i   ; 6.711 ; 6.639 ; Fall       ; wb_clk_i        ;
952
; zero_o        ; wb_clk_i   ; 8.823 ; 8.726 ; Fall       ; wb_clk_i        ;
953
+---------------+------------+-------+-------+------------+-----------------+
954
 
955
 
956
+----------------------------------------------------------------+
957
; Propagation Delay                                              ;
958
+-------------+--------------+--------+--------+--------+--------+
959
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
960
+-------------+--------------+--------+--------+--------+--------+
961
; wb_adr_i[0] ; wb_dat_o[0]  ; 9.305  ; 9.480  ; 10.082 ; 9.579  ;
962
; wb_adr_i[0] ; wb_dat_o[1]  ; 9.682  ; 9.903  ; 10.450 ; 10.024 ;
963
; wb_adr_i[0] ; wb_dat_o[2]  ; 10.103 ; 10.364 ; 10.883 ; 10.560 ;
964
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.970  ; 10.173 ; 10.741 ; 10.292 ;
965
; wb_adr_i[0] ; wb_dat_o[4]  ; 11.026 ; 11.356 ; 11.950 ; 11.310 ;
966
; wb_adr_i[0] ; wb_dat_o[5]  ; 11.000 ; 11.040 ; 11.625 ; 11.285 ;
967
; wb_adr_i[0] ; wb_dat_o[6]  ; 11.149 ; 11.513 ; 12.064 ; 11.475 ;
968
; wb_adr_i[0] ; wb_dat_o[7]  ; 11.244 ; 11.624 ; 12.149 ; 11.587 ;
969
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.946  ; 10.031 ; 10.635 ; 10.222 ;
970
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.519 ; 11.576 ; 12.135 ; 11.829 ;
971
; wb_adr_i[0] ; wb_dat_o[10] ; 11.178 ; 11.405 ; 11.956 ; 11.504 ;
972
; wb_adr_i[0] ; wb_dat_o[11] ; 10.680 ; 10.642 ; 11.175 ; 11.049 ;
973
; wb_adr_i[0] ; wb_dat_o[12] ; 8.954  ; 9.130  ; 9.718  ; 9.231  ;
974
; wb_adr_i[0] ; wb_dat_o[13] ; 8.987  ; 9.161  ; 9.752  ; 9.266  ;
975
; wb_adr_i[0] ; wb_dat_o[14] ; 10.537 ; 10.792 ; 11.318 ; 10.888 ;
976
; wb_adr_i[0] ; wb_dat_o[15] ; 10.642 ; 10.669 ; 11.136 ; 11.076 ;
977
; wb_adr_i[0] ; wb_dat_o[16] ; 9.861  ; 10.147 ; 10.638 ; 10.247 ;
978
; wb_adr_i[0] ; wb_dat_o[17] ; 10.510 ; 10.473 ; 11.009 ; 10.876 ;
979
; wb_adr_i[0] ; wb_dat_o[18] ; 11.290 ; 11.306 ; 11.826 ; 11.649 ;
980
; wb_adr_i[0] ; wb_dat_o[19] ; 8.581  ; 8.751  ; 9.328  ; 8.867  ;
981
; wb_adr_i[0] ; wb_dat_o[20] ; 9.331  ; 9.516  ; 10.095 ; 9.618  ;
982
; wb_adr_i[0] ; wb_dat_o[21] ; 9.303  ; 9.185  ; 9.784  ; 9.594  ;
983
; wb_adr_i[0] ; wb_dat_o[22] ; 9.666  ; 9.692  ; 10.197 ; 10.023 ;
984
; wb_adr_i[0] ; wb_dat_o[23] ; 9.229  ; 9.378  ; 9.975  ; 9.495  ;
985
; wb_adr_i[0] ; wb_dat_o[24] ; 9.202  ; 9.345  ; 9.921  ; 9.500  ;
986
; wb_adr_i[0] ; wb_dat_o[25] ; 10.991 ; 11.201 ; 11.738 ; 11.317 ;
987
; wb_adr_i[0] ; wb_dat_o[26] ; 9.921  ; 10.202 ; 10.715 ; 10.309 ;
988
; wb_adr_i[0] ; wb_dat_o[27] ; 9.605  ; 9.882  ; 10.383 ; 9.999  ;
989
; wb_adr_i[0] ; wb_dat_o[28] ; 9.821  ; 10.079 ; 10.612 ; 10.190 ;
990
; wb_adr_i[0] ; wb_dat_o[29] ; 10.323 ; 10.301 ; 10.839 ; 10.705 ;
991
; wb_adr_i[0] ; wb_dat_o[30] ; 10.145 ; 10.346 ; 10.824 ; 10.544 ;
992
; wb_adr_i[0] ; wb_dat_o[31] ; 9.473  ; 9.816  ; 10.370 ; 9.786  ;
993
; wb_adr_i[1] ; wb_dat_o[0]  ; 9.313  ; 9.530  ; 10.197 ; 9.684  ;
994
; wb_adr_i[1] ; wb_dat_o[1]  ; 9.683  ; 9.953  ; 10.565 ; 10.136 ;
995
; wb_adr_i[1] ; wb_dat_o[2]  ; 10.114 ; 10.414 ; 10.998 ; 10.675 ;
996
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.971  ; 10.223 ; 10.856 ; 10.404 ;
997
; wb_adr_i[1] ; wb_dat_o[4]  ; 11.001 ; 11.406 ; 12.065 ; 11.448 ;
998
; wb_adr_i[1] ; wb_dat_o[5]  ; 10.934 ; 11.090 ; 11.740 ; 11.387 ;
999
; wb_adr_i[1] ; wb_dat_o[6]  ; 11.123 ; 11.563 ; 12.179 ; 11.614 ;
1000
; wb_adr_i[1] ; wb_dat_o[7]  ; 11.218 ; 11.674 ; 12.264 ; 11.726 ;
1001
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.955  ; 10.081 ; 10.750 ; 10.388 ;
1002
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.452 ; 11.626 ; 12.250 ; 11.932 ;
1003
; wb_adr_i[1] ; wb_dat_o[10] ; 11.165 ; 11.455 ; 12.071 ; 11.630 ;
1004
; wb_adr_i[1] ; wb_dat_o[11] ; 10.633 ; 10.692 ; 11.290 ; 11.122 ;
1005
; wb_adr_i[1] ; wb_dat_o[12] ; 8.939  ; 9.180  ; 9.833  ; 9.359  ;
1006
; wb_adr_i[1] ; wb_dat_o[13] ; 8.973  ; 9.211  ; 9.867  ; 9.393  ;
1007
; wb_adr_i[1] ; wb_dat_o[14] ; 10.523 ; 10.842 ; 11.433 ; 11.015 ;
1008
; wb_adr_i[1] ; wb_dat_o[15] ; 10.595 ; 10.719 ; 11.251 ; 11.149 ;
1009
; wb_adr_i[1] ; wb_dat_o[16] ; 9.848  ; 10.197 ; 10.753 ; 10.373 ;
1010
; wb_adr_i[1] ; wb_dat_o[17] ; 10.462 ; 10.523 ; 11.124 ; 10.950 ;
1011
; wb_adr_i[1] ; wb_dat_o[18] ; 11.318 ; 11.356 ; 11.941 ; 11.793 ;
1012
; wb_adr_i[1] ; wb_dat_o[19] ; 8.566  ; 8.801  ; 9.443  ; 8.995  ;
1013
; wb_adr_i[1] ; wb_dat_o[20] ; 9.316  ; 9.566  ; 10.210 ; 9.746  ;
1014
; wb_adr_i[1] ; wb_dat_o[21] ; 9.255  ; 9.235  ; 9.899  ; 9.668  ;
1015
; wb_adr_i[1] ; wb_dat_o[22] ; 9.670  ; 9.742  ; 10.312 ; 10.132 ;
1016
; wb_adr_i[1] ; wb_dat_o[23] ; 9.215  ; 9.428  ; 10.090 ; 9.622  ;
1017
; wb_adr_i[1] ; wb_dat_o[24] ; 9.207  ; 9.395  ; 10.036 ; 9.608  ;
1018
; wb_adr_i[1] ; wb_dat_o[25] ; 10.976 ; 11.251 ; 11.853 ; 11.445 ;
1019
; wb_adr_i[1] ; wb_dat_o[26] ; 9.922  ; 10.252 ; 10.830 ; 10.421 ;
1020
; wb_adr_i[1] ; wb_dat_o[27] ; 9.607  ; 9.932  ; 10.498 ; 10.110 ;
1021
; wb_adr_i[1] ; wb_dat_o[28] ; 9.823  ; 10.129 ; 10.727 ; 10.301 ;
1022
; wb_adr_i[1] ; wb_dat_o[29] ; 10.284 ; 10.351 ; 10.954 ; 10.770 ;
1023
; wb_adr_i[1] ; wb_dat_o[30] ; 10.152 ; 10.396 ; 10.939 ; 10.712 ;
1024
; wb_adr_i[1] ; wb_dat_o[31] ; 9.446  ; 9.866  ; 10.485 ; 9.926  ;
1025
; wb_adr_i[2] ; wb_dat_o[0]  ; 11.386 ; 10.874 ; 11.384 ; 11.602 ;
1026
; wb_adr_i[2] ; wb_dat_o[1]  ; 11.754 ; 11.326 ; 11.754 ; 12.025 ;
1027
; wb_adr_i[2] ; wb_dat_o[2]  ; 12.187 ; 11.864 ; 12.186 ; 12.486 ;
1028
; wb_adr_i[2] ; wb_dat_o[3]  ; 12.045 ; 11.594 ; 12.042 ; 12.295 ;
1029
; wb_adr_i[2] ; wb_dat_o[4]  ; 13.254 ; 12.638 ; 13.072 ; 13.478 ;
1030
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.929 ; 12.577 ; 13.005 ; 13.162 ;
1031
; wb_adr_i[2] ; wb_dat_o[6]  ; 13.368 ; 12.804 ; 13.194 ; 13.635 ;
1032
; wb_adr_i[2] ; wb_dat_o[7]  ; 13.453 ; 12.916 ; 13.289 ; 13.746 ;
1033
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.939 ; 11.578 ; 12.026 ; 12.153 ;
1034
; wb_adr_i[2] ; wb_dat_o[9]  ; 13.439 ; 13.122 ; 13.523 ; 13.698 ;
1035
; wb_adr_i[2] ; wb_dat_o[10] ; 13.260 ; 12.820 ; 13.236 ; 13.527 ;
1036
; wb_adr_i[2] ; wb_dat_o[11] ; 12.479 ; 12.312 ; 12.704 ; 12.764 ;
1037
; wb_adr_i[2] ; wb_dat_o[12] ; 11.022 ; 10.549 ; 11.010 ; 11.252 ;
1038
; wb_adr_i[2] ; wb_dat_o[13] ; 11.056 ; 10.583 ; 11.044 ; 11.283 ;
1039
; wb_adr_i[2] ; wb_dat_o[14] ; 12.622 ; 12.205 ; 12.594 ; 12.914 ;
1040
; wb_adr_i[2] ; wb_dat_o[15] ; 12.440 ; 12.339 ; 12.666 ; 12.791 ;
1041
; wb_adr_i[2] ; wb_dat_o[16] ; 11.942 ; 11.563 ; 11.919 ; 12.269 ;
1042
; wb_adr_i[2] ; wb_dat_o[17] ; 12.313 ; 12.140 ; 12.533 ; 12.595 ;
1043
; wb_adr_i[2] ; wb_dat_o[18] ; 13.130 ; 12.983 ; 13.389 ; 13.428 ;
1044
; wb_adr_i[2] ; wb_dat_o[19] ; 10.632 ; 10.185 ; 10.637 ; 10.873 ;
1045
; wb_adr_i[2] ; wb_dat_o[20] ; 11.399 ; 10.936 ; 11.387 ; 11.638 ;
1046
; wb_adr_i[2] ; wb_dat_o[21] ; 11.088 ; 10.858 ; 11.326 ; 11.307 ;
1047
; wb_adr_i[2] ; wb_dat_o[22] ; 11.501 ; 11.322 ; 11.741 ; 11.814 ;
1048
; wb_adr_i[2] ; wb_dat_o[23] ; 11.279 ; 10.812 ; 11.286 ; 11.500 ;
1049
; wb_adr_i[2] ; wb_dat_o[24] ; 11.225 ; 10.798 ; 11.278 ; 11.467 ;
1050
; wb_adr_i[2] ; wb_dat_o[25] ; 13.042 ; 12.635 ; 13.047 ; 13.323 ;
1051
; wb_adr_i[2] ; wb_dat_o[26] ; 12.019 ; 11.611 ; 11.993 ; 12.324 ;
1052
; wb_adr_i[2] ; wb_dat_o[27] ; 11.687 ; 11.300 ; 11.678 ; 12.004 ;
1053
; wb_adr_i[2] ; wb_dat_o[28] ; 11.916 ; 11.491 ; 11.894 ; 12.201 ;
1054
; wb_adr_i[2] ; wb_dat_o[29] ; 12.143 ; 11.960 ; 12.355 ; 12.423 ;
1055
; wb_adr_i[2] ; wb_dat_o[30] ; 12.128 ; 11.902 ; 12.223 ; 12.468 ;
1056
; wb_adr_i[2] ; wb_dat_o[31] ; 11.674 ; 11.116 ; 11.517 ; 11.938 ;
1057
; wb_adr_i[3] ; wb_dat_o[0]  ; 11.207 ; 10.695 ; 11.213 ; 11.431 ;
1058
; wb_adr_i[3] ; wb_dat_o[1]  ; 11.575 ; 11.147 ; 11.583 ; 11.854 ;
1059
; wb_adr_i[3] ; wb_dat_o[2]  ; 12.008 ; 11.685 ; 12.015 ; 12.315 ;
1060
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.866 ; 11.415 ; 11.871 ; 12.124 ;
1061
; wb_adr_i[3] ; wb_dat_o[4]  ; 13.075 ; 12.459 ; 12.901 ; 13.307 ;
1062
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.750 ; 12.398 ; 12.834 ; 12.991 ;
1063
; wb_adr_i[3] ; wb_dat_o[6]  ; 13.189 ; 12.625 ; 13.023 ; 13.464 ;
1064
; wb_adr_i[3] ; wb_dat_o[7]  ; 13.274 ; 12.737 ; 13.118 ; 13.575 ;
1065
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.760 ; 11.399 ; 11.855 ; 11.982 ;
1066
; wb_adr_i[3] ; wb_dat_o[9]  ; 13.260 ; 12.943 ; 13.352 ; 13.527 ;
1067
; wb_adr_i[3] ; wb_dat_o[10] ; 13.081 ; 12.641 ; 13.065 ; 13.356 ;
1068
; wb_adr_i[3] ; wb_dat_o[11] ; 12.300 ; 12.133 ; 12.533 ; 12.593 ;
1069
; wb_adr_i[3] ; wb_dat_o[12] ; 10.843 ; 10.370 ; 10.839 ; 11.081 ;
1070
; wb_adr_i[3] ; wb_dat_o[13] ; 10.877 ; 10.404 ; 10.873 ; 11.112 ;
1071
; wb_adr_i[3] ; wb_dat_o[14] ; 12.443 ; 12.026 ; 12.423 ; 12.743 ;
1072
; wb_adr_i[3] ; wb_dat_o[15] ; 12.261 ; 12.160 ; 12.495 ; 12.620 ;
1073
; wb_adr_i[3] ; wb_dat_o[16] ; 11.763 ; 11.384 ; 11.748 ; 12.098 ;
1074
; wb_adr_i[3] ; wb_dat_o[17] ; 12.134 ; 11.961 ; 12.362 ; 12.424 ;
1075
; wb_adr_i[3] ; wb_dat_o[18] ; 12.951 ; 12.804 ; 13.218 ; 13.257 ;
1076
; wb_adr_i[3] ; wb_dat_o[19] ; 10.453 ; 10.006 ; 10.466 ; 10.702 ;
1077
; wb_adr_i[3] ; wb_dat_o[20] ; 11.220 ; 10.757 ; 11.216 ; 11.467 ;
1078
; wb_adr_i[3] ; wb_dat_o[21] ; 10.909 ; 10.679 ; 11.155 ; 11.136 ;
1079
; wb_adr_i[3] ; wb_dat_o[22] ; 11.322 ; 11.143 ; 11.570 ; 11.643 ;
1080
; wb_adr_i[3] ; wb_dat_o[23] ; 11.100 ; 10.633 ; 11.115 ; 11.329 ;
1081
; wb_adr_i[3] ; wb_dat_o[24] ; 11.046 ; 10.619 ; 11.107 ; 11.296 ;
1082
; wb_adr_i[3] ; wb_dat_o[25] ; 12.863 ; 12.456 ; 12.876 ; 13.152 ;
1083
; wb_adr_i[3] ; wb_dat_o[26] ; 11.840 ; 11.432 ; 11.822 ; 12.153 ;
1084
; wb_adr_i[3] ; wb_dat_o[27] ; 11.508 ; 11.121 ; 11.507 ; 11.833 ;
1085
; wb_adr_i[3] ; wb_dat_o[28] ; 11.737 ; 11.312 ; 11.723 ; 12.030 ;
1086
; wb_adr_i[3] ; wb_dat_o[29] ; 11.964 ; 11.781 ; 12.184 ; 12.252 ;
1087
; wb_adr_i[3] ; wb_dat_o[30] ; 11.949 ; 11.723 ; 12.052 ; 12.297 ;
1088
; wb_adr_i[3] ; wb_dat_o[31] ; 11.495 ; 10.937 ; 11.346 ; 11.767 ;
1089
; wb_adr_i[4] ; wb_dat_o[0]  ; 11.340 ; 10.828 ; 11.366 ; 11.584 ;
1090
; wb_adr_i[4] ; wb_dat_o[1]  ; 11.708 ; 11.280 ; 11.736 ; 12.007 ;
1091
; wb_adr_i[4] ; wb_dat_o[2]  ; 12.141 ; 11.818 ; 12.168 ; 12.468 ;
1092
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.999 ; 11.548 ; 12.024 ; 12.277 ;
1093
; wb_adr_i[4] ; wb_dat_o[4]  ; 13.208 ; 12.592 ; 13.054 ; 13.460 ;
1094
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.883 ; 12.531 ; 12.987 ; 13.144 ;
1095
; wb_adr_i[4] ; wb_dat_o[6]  ; 13.322 ; 12.758 ; 13.176 ; 13.617 ;
1096
; wb_adr_i[4] ; wb_dat_o[7]  ; 13.407 ; 12.870 ; 13.271 ; 13.728 ;
1097
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.893 ; 11.532 ; 12.008 ; 12.135 ;
1098
; wb_adr_i[4] ; wb_dat_o[9]  ; 13.393 ; 13.076 ; 13.505 ; 13.680 ;
1099
; wb_adr_i[4] ; wb_dat_o[10] ; 13.214 ; 12.774 ; 13.218 ; 13.509 ;
1100
; wb_adr_i[4] ; wb_dat_o[11] ; 12.433 ; 12.266 ; 12.686 ; 12.746 ;
1101
; wb_adr_i[4] ; wb_dat_o[12] ; 10.976 ; 10.503 ; 10.992 ; 11.234 ;
1102
; wb_adr_i[4] ; wb_dat_o[13] ; 11.010 ; 10.537 ; 11.026 ; 11.265 ;
1103
; wb_adr_i[4] ; wb_dat_o[14] ; 12.576 ; 12.159 ; 12.576 ; 12.896 ;
1104
; wb_adr_i[4] ; wb_dat_o[15] ; 12.394 ; 12.293 ; 12.648 ; 12.773 ;
1105
; wb_adr_i[4] ; wb_dat_o[16] ; 11.896 ; 11.517 ; 11.901 ; 12.251 ;
1106
; wb_adr_i[4] ; wb_dat_o[17] ; 12.267 ; 12.094 ; 12.515 ; 12.577 ;
1107
; wb_adr_i[4] ; wb_dat_o[18] ; 13.084 ; 12.937 ; 13.371 ; 13.410 ;
1108
; wb_adr_i[4] ; wb_dat_o[19] ; 10.586 ; 10.139 ; 10.619 ; 10.855 ;
1109
; wb_adr_i[4] ; wb_dat_o[20] ; 11.353 ; 10.890 ; 11.369 ; 11.620 ;
1110
; wb_adr_i[4] ; wb_dat_o[21] ; 11.042 ; 10.812 ; 11.308 ; 11.289 ;
1111
; wb_adr_i[4] ; wb_dat_o[22] ; 11.455 ; 11.276 ; 11.723 ; 11.796 ;
1112
; wb_adr_i[4] ; wb_dat_o[23] ; 11.233 ; 10.766 ; 11.268 ; 11.482 ;
1113
; wb_adr_i[4] ; wb_dat_o[24] ; 11.179 ; 10.752 ; 11.260 ; 11.449 ;
1114
; wb_adr_i[4] ; wb_dat_o[25] ; 12.996 ; 12.589 ; 13.029 ; 13.305 ;
1115
; wb_adr_i[4] ; wb_dat_o[26] ; 11.973 ; 11.565 ; 11.975 ; 12.306 ;
1116
; wb_adr_i[4] ; wb_dat_o[27] ; 11.641 ; 11.254 ; 11.660 ; 11.986 ;
1117
; wb_adr_i[4] ; wb_dat_o[28] ; 11.870 ; 11.445 ; 11.876 ; 12.183 ;
1118
; wb_adr_i[4] ; wb_dat_o[29] ; 12.097 ; 11.914 ; 12.337 ; 12.405 ;
1119
; wb_adr_i[4] ; wb_dat_o[30] ; 12.082 ; 11.856 ; 12.205 ; 12.450 ;
1120
; wb_adr_i[4] ; wb_dat_o[31] ; 11.628 ; 11.070 ; 11.499 ; 11.920 ;
1121
; wb_adr_i[5] ; wb_dat_o[0]  ; 11.659 ; 11.147 ; 11.664 ; 11.882 ;
1122
; wb_adr_i[5] ; wb_dat_o[1]  ; 12.027 ; 11.599 ; 12.034 ; 12.305 ;
1123
; wb_adr_i[5] ; wb_dat_o[2]  ; 12.460 ; 12.137 ; 12.466 ; 12.766 ;
1124
; wb_adr_i[5] ; wb_dat_o[3]  ; 12.318 ; 11.867 ; 12.322 ; 12.575 ;
1125
; wb_adr_i[5] ; wb_dat_o[4]  ; 13.527 ; 12.911 ; 13.352 ; 13.758 ;
1126
; wb_adr_i[5] ; wb_dat_o[5]  ; 13.202 ; 12.850 ; 13.285 ; 13.442 ;
1127
; wb_adr_i[5] ; wb_dat_o[6]  ; 13.641 ; 13.077 ; 13.474 ; 13.915 ;
1128
; wb_adr_i[5] ; wb_dat_o[7]  ; 13.726 ; 13.189 ; 13.569 ; 14.026 ;
1129
; wb_adr_i[5] ; wb_dat_o[8]  ; 12.212 ; 11.851 ; 12.306 ; 12.433 ;
1130
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.712 ; 13.395 ; 13.803 ; 13.978 ;
1131
; wb_adr_i[5] ; wb_dat_o[10] ; 13.533 ; 13.093 ; 13.516 ; 13.807 ;
1132
; wb_adr_i[5] ; wb_dat_o[11] ; 12.752 ; 12.585 ; 12.984 ; 13.044 ;
1133
; wb_adr_i[5] ; wb_dat_o[12] ; 11.295 ; 10.822 ; 11.290 ; 11.532 ;
1134
; wb_adr_i[5] ; wb_dat_o[13] ; 11.329 ; 10.856 ; 11.324 ; 11.563 ;
1135
; wb_adr_i[5] ; wb_dat_o[14] ; 12.895 ; 12.478 ; 12.874 ; 13.194 ;
1136
; wb_adr_i[5] ; wb_dat_o[15] ; 12.713 ; 12.612 ; 12.946 ; 13.071 ;
1137
; wb_adr_i[5] ; wb_dat_o[16] ; 12.215 ; 11.836 ; 12.199 ; 12.549 ;
1138
; wb_adr_i[5] ; wb_dat_o[17] ; 12.586 ; 12.413 ; 12.813 ; 12.875 ;
1139
; wb_adr_i[5] ; wb_dat_o[18] ; 13.403 ; 13.256 ; 13.669 ; 13.708 ;
1140
; wb_adr_i[5] ; wb_dat_o[19] ; 10.905 ; 10.458 ; 10.917 ; 11.153 ;
1141
; wb_adr_i[5] ; wb_dat_o[20] ; 11.672 ; 11.209 ; 11.667 ; 11.918 ;
1142
; wb_adr_i[5] ; wb_dat_o[21] ; 11.361 ; 11.131 ; 11.606 ; 11.587 ;
1143
; wb_adr_i[5] ; wb_dat_o[22] ; 11.774 ; 11.595 ; 12.021 ; 12.094 ;
1144
; wb_adr_i[5] ; wb_dat_o[23] ; 11.552 ; 11.085 ; 11.566 ; 11.780 ;
1145
; wb_adr_i[5] ; wb_dat_o[24] ; 11.498 ; 11.071 ; 11.558 ; 11.747 ;
1146
; wb_adr_i[5] ; wb_dat_o[25] ; 13.315 ; 12.908 ; 13.327 ; 13.603 ;
1147
; wb_adr_i[5] ; wb_dat_o[26] ; 12.292 ; 11.884 ; 12.273 ; 12.604 ;
1148
; wb_adr_i[5] ; wb_dat_o[27] ; 11.960 ; 11.573 ; 11.958 ; 12.284 ;
1149
; wb_adr_i[5] ; wb_dat_o[28] ; 12.189 ; 11.764 ; 12.174 ; 12.481 ;
1150
; wb_adr_i[5] ; wb_dat_o[29] ; 12.416 ; 12.233 ; 12.635 ; 12.703 ;
1151
; wb_adr_i[5] ; wb_dat_o[30] ; 12.401 ; 12.175 ; 12.503 ; 12.748 ;
1152
; wb_adr_i[5] ; wb_dat_o[31] ; 11.947 ; 11.389 ; 11.797 ; 12.218 ;
1153
; wb_cyc_i    ; wb_dat_o[0]  ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
1154
; wb_cyc_i    ; wb_dat_o[1]  ; 7.843  ; 7.686  ; 8.326  ; 8.169  ;
1155
; wb_cyc_i    ; wb_dat_o[2]  ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
1156
; wb_cyc_i    ; wb_dat_o[3]  ; 8.300  ; 8.143  ; 8.775  ; 8.618  ;
1157
; wb_cyc_i    ; wb_dat_o[4]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
1158
; wb_cyc_i    ; wb_dat_o[5]  ; 9.750  ; 9.593  ; 10.323 ; 10.166 ;
1159
; wb_cyc_i    ; wb_dat_o[6]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
1160
; wb_cyc_i    ; wb_dat_o[7]  ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
1161
; wb_cyc_i    ; wb_dat_o[8]  ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
1162
; wb_cyc_i    ; wb_dat_o[9]  ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
1163
; wb_cyc_i    ; wb_dat_o[10] ; 9.767  ; 9.645  ; 10.400 ; 10.278 ;
1164
; wb_cyc_i    ; wb_dat_o[11] ; 9.681  ; 9.524  ; 10.225 ; 10.068 ;
1165
; wb_cyc_i    ; wb_dat_o[12] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
1166
; wb_cyc_i    ; wb_dat_o[13] ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
1167
; wb_cyc_i    ; wb_dat_o[14] ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
1168
; wb_cyc_i    ; wb_dat_o[15] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
1169
; wb_cyc_i    ; wb_dat_o[16] ; 9.534  ; 9.386  ; 10.081 ; 9.933  ;
1170
; wb_cyc_i    ; wb_dat_o[17] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
1171
; wb_cyc_i    ; wb_dat_o[18] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
1172
; wb_cyc_i    ; wb_dat_o[19] ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
1173
; wb_cyc_i    ; wb_dat_o[20] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
1174
; wb_cyc_i    ; wb_dat_o[21] ; 8.467  ; 8.319  ; 8.952  ; 8.804  ;
1175
; wb_cyc_i    ; wb_dat_o[22] ; 9.319  ; 9.223  ; 9.804  ; 9.708  ;
1176
; wb_cyc_i    ; wb_dat_o[23] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
1177
; wb_cyc_i    ; wb_dat_o[24] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
1178
; wb_cyc_i    ; wb_dat_o[25] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
1179
; wb_cyc_i    ; wb_dat_o[26] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
1180
; wb_cyc_i    ; wb_dat_o[27] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
1181
; wb_cyc_i    ; wb_dat_o[28] ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
1182
; wb_cyc_i    ; wb_dat_o[29] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
1183
; wb_cyc_i    ; wb_dat_o[30] ; 10.038 ; 9.916  ; 10.665 ; 10.543 ;
1184
; wb_cyc_i    ; wb_dat_o[31] ; 8.786  ; 8.629  ; 9.277  ; 9.120  ;
1185
; wb_stb_i    ; wb_dat_o[0]  ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
1186
; wb_stb_i    ; wb_dat_o[1]  ; 8.092  ; 7.935  ; 8.610  ; 8.453  ;
1187
; wb_stb_i    ; wb_dat_o[2]  ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
1188
; wb_stb_i    ; wb_dat_o[3]  ; 8.549  ; 8.392  ; 9.059  ; 8.902  ;
1189
; wb_stb_i    ; wb_dat_o[4]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
1190
; wb_stb_i    ; wb_dat_o[5]  ; 9.999  ; 9.842  ; 10.607 ; 10.450 ;
1191
; wb_stb_i    ; wb_dat_o[6]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
1192
; wb_stb_i    ; wb_dat_o[7]  ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
1193
; wb_stb_i    ; wb_dat_o[8]  ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
1194
; wb_stb_i    ; wb_dat_o[9]  ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
1195
; wb_stb_i    ; wb_dat_o[10] ; 10.016 ; 9.894  ; 10.684 ; 10.562 ;
1196
; wb_stb_i    ; wb_dat_o[11] ; 9.930  ; 9.773  ; 10.509 ; 10.352 ;
1197
; wb_stb_i    ; wb_dat_o[12] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
1198
; wb_stb_i    ; wb_dat_o[13] ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
1199
; wb_stb_i    ; wb_dat_o[14] ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
1200
; wb_stb_i    ; wb_dat_o[15] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
1201
; wb_stb_i    ; wb_dat_o[16] ; 9.783  ; 9.635  ; 10.365 ; 10.217 ;
1202
; wb_stb_i    ; wb_dat_o[17] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
1203
; wb_stb_i    ; wb_dat_o[18] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
1204
; wb_stb_i    ; wb_dat_o[19] ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
1205
; wb_stb_i    ; wb_dat_o[20] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
1206
; wb_stb_i    ; wb_dat_o[21] ; 8.716  ; 8.568  ; 9.236  ; 9.088  ;
1207
; wb_stb_i    ; wb_dat_o[22] ; 9.568  ; 9.472  ; 10.088 ; 9.992  ;
1208
; wb_stb_i    ; wb_dat_o[23] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
1209
; wb_stb_i    ; wb_dat_o[24] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
1210
; wb_stb_i    ; wb_dat_o[25] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
1211
; wb_stb_i    ; wb_dat_o[26] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
1212
; wb_stb_i    ; wb_dat_o[27] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
1213
; wb_stb_i    ; wb_dat_o[28] ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
1214
; wb_stb_i    ; wb_dat_o[29] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
1215
; wb_stb_i    ; wb_dat_o[30] ; 10.287 ; 10.165 ; 10.949 ; 10.827 ;
1216
; wb_stb_i    ; wb_dat_o[31] ; 9.035  ; 8.878  ; 9.561  ; 9.404  ;
1217
; wb_we_i     ; wb_dat_o[0]  ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
1218
; wb_we_i     ; wb_dat_o[1]  ; 7.660  ; 7.503  ; 8.091  ; 7.934  ;
1219
; wb_we_i     ; wb_dat_o[2]  ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
1220
; wb_we_i     ; wb_dat_o[3]  ; 8.109  ; 7.952  ; 8.548  ; 8.391  ;
1221
; wb_we_i     ; wb_dat_o[4]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
1222
; wb_we_i     ; wb_dat_o[5]  ; 9.657  ; 9.500  ; 9.998  ; 9.841  ;
1223
; wb_we_i     ; wb_dat_o[6]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
1224
; wb_we_i     ; wb_dat_o[7]  ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
1225
; wb_we_i     ; wb_dat_o[8]  ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
1226
; wb_we_i     ; wb_dat_o[9]  ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
1227
; wb_we_i     ; wb_dat_o[10] ; 9.734  ; 9.612  ; 10.015 ; 9.893  ;
1228
; wb_we_i     ; wb_dat_o[11] ; 9.559  ; 9.402  ; 9.929  ; 9.772  ;
1229
; wb_we_i     ; wb_dat_o[12] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
1230
; wb_we_i     ; wb_dat_o[13] ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
1231
; wb_we_i     ; wb_dat_o[14] ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
1232
; wb_we_i     ; wb_dat_o[15] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
1233
; wb_we_i     ; wb_dat_o[16] ; 9.415  ; 9.267  ; 9.782  ; 9.634  ;
1234
; wb_we_i     ; wb_dat_o[17] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
1235
; wb_we_i     ; wb_dat_o[18] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
1236
; wb_we_i     ; wb_dat_o[19] ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
1237
; wb_we_i     ; wb_dat_o[20] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
1238
; wb_we_i     ; wb_dat_o[21] ; 8.286  ; 8.138  ; 8.715  ; 8.567  ;
1239
; wb_we_i     ; wb_dat_o[22] ; 9.138  ; 9.042  ; 9.567  ; 9.471  ;
1240
; wb_we_i     ; wb_dat_o[23] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
1241
; wb_we_i     ; wb_dat_o[24] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
1242
; wb_we_i     ; wb_dat_o[25] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
1243
; wb_we_i     ; wb_dat_o[26] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
1244
; wb_we_i     ; wb_dat_o[27] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
1245
; wb_we_i     ; wb_dat_o[28] ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
1246
; wb_we_i     ; wb_dat_o[29] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
1247
; wb_we_i     ; wb_dat_o[30] ; 9.999  ; 9.877  ; 10.286 ; 10.164 ;
1248
; wb_we_i     ; wb_dat_o[31] ; 8.611  ; 8.454  ; 9.034  ; 8.877  ;
1249
+-------------+--------------+--------+--------+--------+--------+
1250
 
1251
 
1252
+----------------------------------------------------------------+
1253
; Minimum Propagation Delay                                      ;
1254
+-------------+--------------+--------+--------+--------+--------+
1255
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
1256
+-------------+--------------+--------+--------+--------+--------+
1257
; wb_adr_i[0] ; wb_dat_o[0]  ; 8.600  ; 8.798  ; 9.375  ; 8.948  ;
1258
; wb_adr_i[0] ; wb_dat_o[1]  ; 8.350  ; 9.151  ; 9.682  ; 8.681  ;
1259
; wb_adr_i[0] ; wb_dat_o[2]  ; 9.685  ; 9.669  ; 10.134 ; 10.091 ;
1260
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.018  ; 9.407  ; 9.957  ; 9.357  ;
1261
; wb_adr_i[0] ; wb_dat_o[4]  ; 9.575  ; 10.494 ; 10.997 ; 9.928  ;
1262
; wb_adr_i[0] ; wb_dat_o[5]  ; 8.781  ; 10.352 ; 10.882 ; 9.097  ;
1263
; wb_adr_i[0] ; wb_dat_o[6]  ; 9.372  ; 10.570 ; 11.064 ; 9.725  ;
1264
; wb_adr_i[0] ; wb_dat_o[7]  ; 10.168 ; 10.675 ; 11.136 ; 10.563 ;
1265
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.311  ; 9.475  ; 9.989  ; 9.686  ;
1266
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.081 ; 10.959 ; 11.428 ; 11.387 ;
1267
; wb_adr_i[0] ; wb_dat_o[10] ; 10.752 ; 10.667 ; 11.149 ; 11.073 ;
1268
; wb_adr_i[0] ; wb_dat_o[11] ; 10.276 ; 10.182 ; 10.641 ; 10.639 ;
1269
; wb_adr_i[0] ; wb_dat_o[12] ; 8.618  ; 8.487  ; 9.016  ; 8.894  ;
1270
; wb_adr_i[0] ; wb_dat_o[13] ; 8.650  ; 8.520  ; 9.047  ; 8.926  ;
1271
; wb_adr_i[0] ; wb_dat_o[14] ; 10.138 ; 10.077 ; 10.535 ; 10.483 ;
1272
; wb_adr_i[0] ; wb_dat_o[15] ; 10.238 ; 10.207 ; 10.604 ; 10.665 ;
1273
; wb_adr_i[0] ; wb_dat_o[16] ; 9.486  ; 9.458  ; 9.883  ; 9.864  ;
1274
; wb_adr_i[0] ; wb_dat_o[17] ; 10.112 ; 10.015 ; 10.477 ; 10.472 ;
1275
; wb_adr_i[0] ; wb_dat_o[18] ; 10.863 ; 10.820 ; 11.299 ; 11.214 ;
1276
; wb_adr_i[0] ; wb_dat_o[19] ; 8.261  ; 8.138  ; 8.658  ; 8.544  ;
1277
; wb_adr_i[0] ; wb_dat_o[20] ; 8.980  ; 8.859  ; 9.378  ; 9.266  ;
1278
; wb_adr_i[0] ; wb_dat_o[21] ; 8.949  ; 8.781  ; 9.314  ; 9.238  ;
1279
; wb_adr_i[0] ; wb_dat_o[22] ; 9.332  ; 9.262  ; 9.747  ; 9.686  ;
1280
; wb_adr_i[0] ; wb_dat_o[23] ; 8.884  ; 8.740  ; 9.282  ; 9.147  ;
1281
; wb_adr_i[0] ; wb_dat_o[24] ; 8.858  ; 8.727  ; 9.274  ; 9.152  ;
1282
; wb_adr_i[0] ; wb_dat_o[25] ; 10.574 ; 10.489 ; 10.972 ; 10.896 ;
1283
; wb_adr_i[0] ; wb_dat_o[26] ; 9.546  ; 9.506  ; 9.958  ; 9.927  ;
1284
; wb_adr_i[0] ; wb_dat_o[27] ; 9.244  ; 9.208  ; 9.656  ; 9.629  ;
1285
; wb_adr_i[0] ; wb_dat_o[28] ; 9.449  ; 9.390  ; 9.861  ; 9.811  ;
1286
; wb_adr_i[0] ; wb_dat_o[29] ; 9.930  ; 9.841  ; 10.303 ; 10.306 ;
1287
; wb_adr_i[0] ; wb_dat_o[30] ; 9.761  ; 9.786  ; 10.178 ; 10.153 ;
1288
; wb_adr_i[0] ; wb_dat_o[31] ; 9.117  ; 9.032  ; 9.502  ; 9.426  ;
1289
; wb_adr_i[1] ; wb_dat_o[0]  ; 8.664  ; 8.842  ; 9.506  ; 9.085  ;
1290
; wb_adr_i[1] ; wb_dat_o[1]  ; 8.414  ; 9.189  ; 9.819  ; 8.818  ;
1291
; wb_adr_i[1] ; wb_dat_o[2]  ; 9.749  ; 9.707  ; 10.271 ; 10.219 ;
1292
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.082  ; 9.444  ; 10.095 ; 9.494  ;
1293
; wb_adr_i[1] ; wb_dat_o[4]  ; 9.639  ; 10.506 ; 11.160 ; 10.065 ;
1294
; wb_adr_i[1] ; wb_dat_o[5]  ; 8.845  ; 10.397 ; 11.084 ; 9.234  ;
1295
; wb_adr_i[1] ; wb_dat_o[6]  ; 9.436  ; 10.581 ; 11.228 ; 9.862  ;
1296
; wb_adr_i[1] ; wb_dat_o[7]  ; 10.232 ; 10.686 ; 11.300 ; 10.700 ;
1297
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.375  ; 9.458  ; 10.122 ; 9.823  ;
1298
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.044 ; 11.003 ; 11.631 ; 11.509 ;
1299
; wb_adr_i[1] ; wb_dat_o[10] ; 10.765 ; 10.689 ; 11.302 ; 11.217 ;
1300
; wb_adr_i[1] ; wb_dat_o[11] ; 10.257 ; 10.255 ; 10.826 ; 10.732 ;
1301
; wb_adr_i[1] ; wb_dat_o[12] ; 8.632  ; 8.510  ; 9.168  ; 9.037  ;
1302
; wb_adr_i[1] ; wb_dat_o[13] ; 8.663  ; 8.542  ; 9.200  ; 9.070  ;
1303
; wb_adr_i[1] ; wb_dat_o[14] ; 10.151 ; 10.099 ; 10.688 ; 10.627 ;
1304
; wb_adr_i[1] ; wb_dat_o[15] ; 10.220 ; 10.281 ; 10.788 ; 10.757 ;
1305
; wb_adr_i[1] ; wb_dat_o[16] ; 9.499  ; 9.480  ; 10.036 ; 10.008 ;
1306
; wb_adr_i[1] ; wb_dat_o[17] ; 10.093 ; 10.088 ; 10.662 ; 10.565 ;
1307
; wb_adr_i[1] ; wb_dat_o[18] ; 10.915 ; 10.830 ; 11.413 ; 11.375 ;
1308
; wb_adr_i[1] ; wb_dat_o[19] ; 8.274  ; 8.160  ; 8.811  ; 8.688  ;
1309
; wb_adr_i[1] ; wb_dat_o[20] ; 8.994  ; 8.882  ; 9.530  ; 9.409  ;
1310
; wb_adr_i[1] ; wb_dat_o[21] ; 8.930  ; 8.854  ; 9.499  ; 9.331  ;
1311
; wb_adr_i[1] ; wb_dat_o[22] ; 9.363  ; 9.302  ; 9.882  ; 9.812  ;
1312
; wb_adr_i[1] ; wb_dat_o[23] ; 8.898  ; 8.763  ; 9.434  ; 9.290  ;
1313
; wb_adr_i[1] ; wb_dat_o[24] ; 8.890  ; 8.768  ; 9.408  ; 9.277  ;
1314
; wb_adr_i[1] ; wb_dat_o[25] ; 10.588 ; 10.512 ; 11.124 ; 11.039 ;
1315
; wb_adr_i[1] ; wb_dat_o[26] ; 9.574  ; 9.543  ; 10.096 ; 10.056 ;
1316
; wb_adr_i[1] ; wb_dat_o[27] ; 9.272  ; 9.245  ; 9.794  ; 9.758  ;
1317
; wb_adr_i[1] ; wb_dat_o[28] ; 9.477  ; 9.427  ; 9.999  ; 9.940  ;
1318
; wb_adr_i[1] ; wb_dat_o[29] ; 9.919  ; 9.922  ; 10.480 ; 10.391 ;
1319
; wb_adr_i[1] ; wb_dat_o[30] ; 9.794  ; 9.769  ; 10.311 ; 10.336 ;
1320
; wb_adr_i[1] ; wb_dat_o[31] ; 9.118  ; 9.042  ; 9.667  ; 9.582  ;
1321
; wb_adr_i[2] ; wb_dat_o[0]  ; 10.645 ; 10.224 ; 10.660 ; 10.839 ;
1322
; wb_adr_i[2] ; wb_dat_o[1]  ; 10.958 ; 9.957  ; 10.410 ; 11.186 ;
1323
; wb_adr_i[2] ; wb_dat_o[2]  ; 11.410 ; 11.358 ; 11.745 ; 11.704 ;
1324
; wb_adr_i[2] ; wb_dat_o[3]  ; 11.234 ; 10.633 ; 11.078 ; 11.441 ;
1325
; wb_adr_i[2] ; wb_dat_o[4]  ; 12.299 ; 11.204 ; 11.635 ; 12.503 ;
1326
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.223 ; 10.373 ; 10.841 ; 12.394 ;
1327
; wb_adr_i[2] ; wb_dat_o[6]  ; 12.367 ; 11.001 ; 11.432 ; 12.578 ;
1328
; wb_adr_i[2] ; wb_dat_o[7]  ; 12.439 ; 11.839 ; 12.228 ; 12.683 ;
1329
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.261 ; 10.962 ; 11.371 ; 11.455 ;
1330
; wb_adr_i[2] ; wb_dat_o[9]  ; 12.770 ; 12.648 ; 13.041 ; 13.000 ;
1331
; wb_adr_i[2] ; wb_dat_o[10] ; 12.441 ; 12.356 ; 12.762 ; 12.686 ;
1332
; wb_adr_i[2] ; wb_dat_o[11] ; 11.965 ; 11.871 ; 12.254 ; 12.252 ;
1333
; wb_adr_i[2] ; wb_dat_o[12] ; 10.307 ; 10.176 ; 10.629 ; 10.507 ;
1334
; wb_adr_i[2] ; wb_dat_o[13] ; 10.339 ; 10.209 ; 10.660 ; 10.539 ;
1335
; wb_adr_i[2] ; wb_dat_o[14] ; 11.827 ; 11.766 ; 12.148 ; 12.096 ;
1336
; wb_adr_i[2] ; wb_dat_o[15] ; 11.927 ; 11.896 ; 12.217 ; 12.278 ;
1337
; wb_adr_i[2] ; wb_dat_o[16] ; 11.175 ; 11.147 ; 11.496 ; 11.477 ;
1338
; wb_adr_i[2] ; wb_dat_o[17] ; 11.801 ; 11.704 ; 12.090 ; 12.085 ;
1339
; wb_adr_i[2] ; wb_dat_o[18] ; 12.552 ; 12.514 ; 12.912 ; 12.827 ;
1340
; wb_adr_i[2] ; wb_dat_o[19] ; 9.950  ; 9.827  ; 10.271 ; 10.157 ;
1341
; wb_adr_i[2] ; wb_dat_o[20] ; 10.669 ; 10.548 ; 10.991 ; 10.879 ;
1342
; wb_adr_i[2] ; wb_dat_o[21] ; 10.638 ; 10.470 ; 10.927 ; 10.851 ;
1343
; wb_adr_i[2] ; wb_dat_o[22] ; 11.021 ; 10.951 ; 11.360 ; 11.299 ;
1344
; wb_adr_i[2] ; wb_dat_o[23] ; 10.573 ; 10.429 ; 10.895 ; 10.760 ;
1345
; wb_adr_i[2] ; wb_dat_o[24] ; 10.547 ; 10.416 ; 10.887 ; 10.765 ;
1346
; wb_adr_i[2] ; wb_dat_o[25] ; 12.263 ; 12.178 ; 12.585 ; 12.509 ;
1347
; wb_adr_i[2] ; wb_dat_o[26] ; 11.235 ; 11.195 ; 11.571 ; 11.540 ;
1348
; wb_adr_i[2] ; wb_dat_o[27] ; 10.933 ; 10.897 ; 11.269 ; 11.242 ;
1349
; wb_adr_i[2] ; wb_dat_o[28] ; 11.138 ; 11.079 ; 11.474 ; 11.424 ;
1350
; wb_adr_i[2] ; wb_dat_o[29] ; 11.619 ; 11.530 ; 11.916 ; 11.919 ;
1351
; wb_adr_i[2] ; wb_dat_o[30] ; 11.450 ; 11.475 ; 11.791 ; 11.766 ;
1352
; wb_adr_i[2] ; wb_dat_o[31] ; 10.806 ; 10.721 ; 11.115 ; 11.039 ;
1353
; wb_adr_i[3] ; wb_dat_o[0]  ; 10.473 ; 10.052 ; 10.496 ; 10.675 ;
1354
; wb_adr_i[3] ; wb_dat_o[1]  ; 10.786 ; 9.785  ; 10.246 ; 11.022 ;
1355
; wb_adr_i[3] ; wb_dat_o[2]  ; 11.238 ; 11.186 ; 11.581 ; 11.540 ;
1356
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.062 ; 10.461 ; 10.914 ; 11.277 ;
1357
; wb_adr_i[3] ; wb_dat_o[4]  ; 12.127 ; 11.032 ; 11.471 ; 12.339 ;
1358
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.051 ; 10.201 ; 10.677 ; 12.230 ;
1359
; wb_adr_i[3] ; wb_dat_o[6]  ; 12.195 ; 10.829 ; 11.268 ; 12.414 ;
1360
; wb_adr_i[3] ; wb_dat_o[7]  ; 12.267 ; 11.667 ; 12.064 ; 12.519 ;
1361
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.089 ; 10.790 ; 11.207 ; 11.291 ;
1362
; wb_adr_i[3] ; wb_dat_o[9]  ; 12.598 ; 12.476 ; 12.877 ; 12.836 ;
1363
; wb_adr_i[3] ; wb_dat_o[10] ; 12.269 ; 12.184 ; 12.598 ; 12.522 ;
1364
; wb_adr_i[3] ; wb_dat_o[11] ; 11.793 ; 11.699 ; 12.090 ; 12.088 ;
1365
; wb_adr_i[3] ; wb_dat_o[12] ; 10.135 ; 10.004 ; 10.465 ; 10.343 ;
1366
; wb_adr_i[3] ; wb_dat_o[13] ; 10.167 ; 10.037 ; 10.496 ; 10.375 ;
1367
; wb_adr_i[3] ; wb_dat_o[14] ; 11.655 ; 11.594 ; 11.984 ; 11.932 ;
1368
; wb_adr_i[3] ; wb_dat_o[15] ; 11.755 ; 11.724 ; 12.053 ; 12.114 ;
1369
; wb_adr_i[3] ; wb_dat_o[16] ; 11.003 ; 10.975 ; 11.332 ; 11.313 ;
1370
; wb_adr_i[3] ; wb_dat_o[17] ; 11.629 ; 11.532 ; 11.926 ; 11.921 ;
1371
; wb_adr_i[3] ; wb_dat_o[18] ; 12.380 ; 12.342 ; 12.748 ; 12.663 ;
1372
; wb_adr_i[3] ; wb_dat_o[19] ; 9.778  ; 9.655  ; 10.107 ; 9.993  ;
1373
; wb_adr_i[3] ; wb_dat_o[20] ; 10.497 ; 10.376 ; 10.827 ; 10.715 ;
1374
; wb_adr_i[3] ; wb_dat_o[21] ; 10.466 ; 10.298 ; 10.763 ; 10.687 ;
1375
; wb_adr_i[3] ; wb_dat_o[22] ; 10.849 ; 10.779 ; 11.196 ; 11.135 ;
1376
; wb_adr_i[3] ; wb_dat_o[23] ; 10.401 ; 10.257 ; 10.731 ; 10.596 ;
1377
; wb_adr_i[3] ; wb_dat_o[24] ; 10.375 ; 10.244 ; 10.723 ; 10.601 ;
1378
; wb_adr_i[3] ; wb_dat_o[25] ; 12.091 ; 12.006 ; 12.421 ; 12.345 ;
1379
; wb_adr_i[3] ; wb_dat_o[26] ; 11.063 ; 11.023 ; 11.407 ; 11.376 ;
1380
; wb_adr_i[3] ; wb_dat_o[27] ; 10.761 ; 10.725 ; 11.105 ; 11.078 ;
1381
; wb_adr_i[3] ; wb_dat_o[28] ; 10.966 ; 10.907 ; 11.310 ; 11.260 ;
1382
; wb_adr_i[3] ; wb_dat_o[29] ; 11.447 ; 11.358 ; 11.752 ; 11.755 ;
1383
; wb_adr_i[3] ; wb_dat_o[30] ; 11.278 ; 11.303 ; 11.627 ; 11.602 ;
1384
; wb_adr_i[3] ; wb_dat_o[31] ; 10.634 ; 10.549 ; 10.951 ; 10.875 ;
1385
; wb_adr_i[4] ; wb_dat_o[0]  ; 10.600 ; 10.179 ; 10.643 ; 10.822 ;
1386
; wb_adr_i[4] ; wb_dat_o[1]  ; 10.913 ; 9.912  ; 10.393 ; 11.169 ;
1387
; wb_adr_i[4] ; wb_dat_o[2]  ; 11.365 ; 11.313 ; 11.728 ; 11.687 ;
1388
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.189 ; 10.588 ; 11.061 ; 11.424 ;
1389
; wb_adr_i[4] ; wb_dat_o[4]  ; 12.254 ; 11.159 ; 11.618 ; 12.486 ;
1390
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.178 ; 10.328 ; 10.824 ; 12.377 ;
1391
; wb_adr_i[4] ; wb_dat_o[6]  ; 12.322 ; 10.956 ; 11.415 ; 12.561 ;
1392
; wb_adr_i[4] ; wb_dat_o[7]  ; 12.394 ; 11.794 ; 12.211 ; 12.666 ;
1393
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.216 ; 10.917 ; 11.354 ; 11.438 ;
1394
; wb_adr_i[4] ; wb_dat_o[9]  ; 12.725 ; 12.603 ; 13.024 ; 12.983 ;
1395
; wb_adr_i[4] ; wb_dat_o[10] ; 12.396 ; 12.311 ; 12.745 ; 12.669 ;
1396
; wb_adr_i[4] ; wb_dat_o[11] ; 11.920 ; 11.826 ; 12.237 ; 12.235 ;
1397
; wb_adr_i[4] ; wb_dat_o[12] ; 10.262 ; 10.131 ; 10.612 ; 10.490 ;
1398
; wb_adr_i[4] ; wb_dat_o[13] ; 10.294 ; 10.164 ; 10.643 ; 10.522 ;
1399
; wb_adr_i[4] ; wb_dat_o[14] ; 11.782 ; 11.721 ; 12.131 ; 12.079 ;
1400
; wb_adr_i[4] ; wb_dat_o[15] ; 11.882 ; 11.851 ; 12.200 ; 12.261 ;
1401
; wb_adr_i[4] ; wb_dat_o[16] ; 11.130 ; 11.102 ; 11.479 ; 11.460 ;
1402
; wb_adr_i[4] ; wb_dat_o[17] ; 11.756 ; 11.659 ; 12.073 ; 12.068 ;
1403
; wb_adr_i[4] ; wb_dat_o[18] ; 12.507 ; 12.469 ; 12.895 ; 12.810 ;
1404
; wb_adr_i[4] ; wb_dat_o[19] ; 9.905  ; 9.782  ; 10.254 ; 10.140 ;
1405
; wb_adr_i[4] ; wb_dat_o[20] ; 10.624 ; 10.503 ; 10.974 ; 10.862 ;
1406
; wb_adr_i[4] ; wb_dat_o[21] ; 10.593 ; 10.425 ; 10.910 ; 10.834 ;
1407
; wb_adr_i[4] ; wb_dat_o[22] ; 10.976 ; 10.906 ; 11.343 ; 11.282 ;
1408
; wb_adr_i[4] ; wb_dat_o[23] ; 10.528 ; 10.384 ; 10.878 ; 10.743 ;
1409
; wb_adr_i[4] ; wb_dat_o[24] ; 10.502 ; 10.371 ; 10.870 ; 10.748 ;
1410
; wb_adr_i[4] ; wb_dat_o[25] ; 12.218 ; 12.133 ; 12.568 ; 12.492 ;
1411
; wb_adr_i[4] ; wb_dat_o[26] ; 11.190 ; 11.150 ; 11.554 ; 11.523 ;
1412
; wb_adr_i[4] ; wb_dat_o[27] ; 10.888 ; 10.852 ; 11.252 ; 11.225 ;
1413
; wb_adr_i[4] ; wb_dat_o[28] ; 11.093 ; 11.034 ; 11.457 ; 11.407 ;
1414
; wb_adr_i[4] ; wb_dat_o[29] ; 11.574 ; 11.485 ; 11.899 ; 11.902 ;
1415
; wb_adr_i[4] ; wb_dat_o[30] ; 11.405 ; 11.430 ; 11.774 ; 11.749 ;
1416
; wb_adr_i[4] ; wb_dat_o[31] ; 10.761 ; 10.676 ; 11.098 ; 11.022 ;
1417
; wb_adr_i[5] ; wb_dat_o[0]  ; 10.908 ; 10.487 ; 10.929 ; 11.108 ;
1418
; wb_adr_i[5] ; wb_dat_o[1]  ; 11.221 ; 10.220 ; 10.679 ; 11.455 ;
1419
; wb_adr_i[5] ; wb_dat_o[2]  ; 11.673 ; 11.621 ; 12.014 ; 11.973 ;
1420
; wb_adr_i[5] ; wb_dat_o[3]  ; 11.497 ; 10.896 ; 11.347 ; 11.710 ;
1421
; wb_adr_i[5] ; wb_dat_o[4]  ; 12.562 ; 11.467 ; 11.904 ; 12.772 ;
1422
; wb_adr_i[5] ; wb_dat_o[5]  ; 12.486 ; 10.636 ; 11.110 ; 12.663 ;
1423
; wb_adr_i[5] ; wb_dat_o[6]  ; 12.630 ; 11.264 ; 11.701 ; 12.847 ;
1424
; wb_adr_i[5] ; wb_dat_o[7]  ; 12.702 ; 12.102 ; 12.497 ; 12.952 ;
1425
; wb_adr_i[5] ; wb_dat_o[8]  ; 11.524 ; 11.225 ; 11.640 ; 11.724 ;
1426
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.033 ; 12.911 ; 13.310 ; 13.269 ;
1427
; wb_adr_i[5] ; wb_dat_o[10] ; 12.704 ; 12.619 ; 13.031 ; 12.955 ;
1428
; wb_adr_i[5] ; wb_dat_o[11] ; 12.228 ; 12.134 ; 12.523 ; 12.521 ;
1429
; wb_adr_i[5] ; wb_dat_o[12] ; 10.570 ; 10.439 ; 10.898 ; 10.776 ;
1430
; wb_adr_i[5] ; wb_dat_o[13] ; 10.602 ; 10.472 ; 10.929 ; 10.808 ;
1431
; wb_adr_i[5] ; wb_dat_o[14] ; 12.090 ; 12.029 ; 12.417 ; 12.365 ;
1432
; wb_adr_i[5] ; wb_dat_o[15] ; 12.190 ; 12.159 ; 12.486 ; 12.547 ;
1433
; wb_adr_i[5] ; wb_dat_o[16] ; 11.438 ; 11.410 ; 11.765 ; 11.746 ;
1434
; wb_adr_i[5] ; wb_dat_o[17] ; 12.064 ; 11.967 ; 12.359 ; 12.354 ;
1435
; wb_adr_i[5] ; wb_dat_o[18] ; 12.815 ; 12.777 ; 13.181 ; 13.096 ;
1436
; wb_adr_i[5] ; wb_dat_o[19] ; 10.213 ; 10.090 ; 10.540 ; 10.426 ;
1437
; wb_adr_i[5] ; wb_dat_o[20] ; 10.932 ; 10.811 ; 11.260 ; 11.148 ;
1438
; wb_adr_i[5] ; wb_dat_o[21] ; 10.901 ; 10.733 ; 11.196 ; 11.120 ;
1439
; wb_adr_i[5] ; wb_dat_o[22] ; 11.284 ; 11.214 ; 11.629 ; 11.568 ;
1440
; wb_adr_i[5] ; wb_dat_o[23] ; 10.836 ; 10.692 ; 11.164 ; 11.029 ;
1441
; wb_adr_i[5] ; wb_dat_o[24] ; 10.810 ; 10.679 ; 11.156 ; 11.034 ;
1442
; wb_adr_i[5] ; wb_dat_o[25] ; 12.526 ; 12.441 ; 12.854 ; 12.778 ;
1443
; wb_adr_i[5] ; wb_dat_o[26] ; 11.498 ; 11.458 ; 11.840 ; 11.809 ;
1444
; wb_adr_i[5] ; wb_dat_o[27] ; 11.196 ; 11.160 ; 11.538 ; 11.511 ;
1445
; wb_adr_i[5] ; wb_dat_o[28] ; 11.401 ; 11.342 ; 11.743 ; 11.693 ;
1446
; wb_adr_i[5] ; wb_dat_o[29] ; 11.882 ; 11.793 ; 12.185 ; 12.188 ;
1447
; wb_adr_i[5] ; wb_dat_o[30] ; 11.713 ; 11.738 ; 12.060 ; 12.035 ;
1448
; wb_adr_i[5] ; wb_dat_o[31] ; 11.069 ; 10.984 ; 11.384 ; 11.308 ;
1449
; wb_cyc_i    ; wb_dat_o[0]  ; 7.780  ; 7.623  ; 8.245  ; 8.088  ;
1450
; wb_cyc_i    ; wb_dat_o[1]  ; 7.531  ; 7.374  ; 8.001  ; 7.844  ;
1451
; wb_cyc_i    ; wb_dat_o[2]  ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
1452
; wb_cyc_i    ; wb_dat_o[3]  ; 7.970  ; 7.813  ; 8.432  ; 8.275  ;
1453
; wb_cyc_i    ; wb_dat_o[4]  ; 9.451  ; 9.294  ; 10.043 ; 9.886  ;
1454
; wb_cyc_i    ; wb_dat_o[5]  ; 9.362  ; 9.205  ; 9.918  ; 9.761  ;
1455
; wb_cyc_i    ; wb_dat_o[6]  ; 9.451  ; 9.294  ; 10.043 ; 9.886  ;
1456
; wb_cyc_i    ; wb_dat_o[7]  ; 9.929  ; 9.807  ; 10.540 ; 10.418 ;
1457
; wb_cyc_i    ; wb_dat_o[8]  ; 8.186  ; 8.029  ; 8.653  ; 8.496  ;
1458
; wb_cyc_i    ; wb_dat_o[9]  ; 9.465  ; 9.308  ; 9.988  ; 9.831  ;
1459
; wb_cyc_i    ; wb_dat_o[10] ; 9.413  ; 9.291  ; 10.027 ; 9.905  ;
1460
; wb_cyc_i    ; wb_dat_o[11] ; 9.296  ; 9.139  ; 9.824  ; 9.667  ;
1461
; wb_cyc_i    ; wb_dat_o[12] ; 8.190  ; 8.033  ; 8.658  ; 8.501  ;
1462
; wb_cyc_i    ; wb_dat_o[13] ; 8.186  ; 8.029  ; 8.653  ; 8.496  ;
1463
; wb_cyc_i    ; wb_dat_o[14] ; 9.465  ; 9.308  ; 9.988  ; 9.831  ;
1464
; wb_cyc_i    ; wb_dat_o[15] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
1465
; wb_cyc_i    ; wb_dat_o[16] ; 9.151  ; 9.003  ; 9.682  ; 9.534  ;
1466
; wb_cyc_i    ; wb_dat_o[17] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
1467
; wb_cyc_i    ; wb_dat_o[18] ; 9.469  ; 9.312  ; 9.992  ; 9.835  ;
1468
; wb_cyc_i    ; wb_dat_o[19] ; 7.780  ; 7.623  ; 8.245  ; 8.088  ;
1469
; wb_cyc_i    ; wb_dat_o[20] ; 8.399  ; 8.242  ; 8.875  ; 8.718  ;
1470
; wb_cyc_i    ; wb_dat_o[21] ; 8.126  ; 7.978  ; 8.598  ; 8.450  ;
1471
; wb_cyc_i    ; wb_dat_o[22] ; 8.978  ; 8.882  ; 9.450  ; 9.354  ;
1472
; wb_cyc_i    ; wb_dat_o[23] ; 8.190  ; 8.033  ; 8.658  ; 8.501  ;
1473
; wb_cyc_i    ; wb_dat_o[24] ; 8.399  ; 8.242  ; 8.875  ; 8.718  ;
1474
; wb_cyc_i    ; wb_dat_o[25] ; 9.469  ; 9.312  ; 9.992  ; 9.835  ;
1475
; wb_cyc_i    ; wb_dat_o[26] ; 9.669  ; 9.547  ; 10.290 ; 10.168 ;
1476
; wb_cyc_i    ; wb_dat_o[27] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
1477
; wb_cyc_i    ; wb_dat_o[28] ; 9.929  ; 9.807  ; 10.540 ; 10.418 ;
1478
; wb_cyc_i    ; wb_dat_o[29] ; 9.669  ; 9.547  ; 10.290 ; 10.168 ;
1479
; wb_cyc_i    ; wb_dat_o[30] ; 9.673  ; 9.551  ; 10.281 ; 10.159 ;
1480
; wb_cyc_i    ; wb_dat_o[31] ; 8.436  ; 8.279  ; 8.914  ; 8.757  ;
1481
; wb_stb_i    ; wb_dat_o[0]  ; 8.008  ; 7.851  ; 8.495  ; 8.338  ;
1482
; wb_stb_i    ; wb_dat_o[1]  ; 7.759  ; 7.602  ; 8.251  ; 8.094  ;
1483
; wb_stb_i    ; wb_dat_o[2]  ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
1484
; wb_stb_i    ; wb_dat_o[3]  ; 8.198  ; 8.041  ; 8.682  ; 8.525  ;
1485
; wb_stb_i    ; wb_dat_o[4]  ; 9.679  ; 9.522  ; 10.293 ; 10.136 ;
1486
; wb_stb_i    ; wb_dat_o[5]  ; 9.590  ; 9.433  ; 10.168 ; 10.011 ;
1487
; wb_stb_i    ; wb_dat_o[6]  ; 9.679  ; 9.522  ; 10.293 ; 10.136 ;
1488
; wb_stb_i    ; wb_dat_o[7]  ; 10.157 ; 10.035 ; 10.790 ; 10.668 ;
1489
; wb_stb_i    ; wb_dat_o[8]  ; 8.414  ; 8.257  ; 8.903  ; 8.746  ;
1490
; wb_stb_i    ; wb_dat_o[9]  ; 9.693  ; 9.536  ; 10.238 ; 10.081 ;
1491
; wb_stb_i    ; wb_dat_o[10] ; 9.641  ; 9.519  ; 10.277 ; 10.155 ;
1492
; wb_stb_i    ; wb_dat_o[11] ; 9.524  ; 9.367  ; 10.074 ; 9.917  ;
1493
; wb_stb_i    ; wb_dat_o[12] ; 8.418  ; 8.261  ; 8.908  ; 8.751  ;
1494
; wb_stb_i    ; wb_dat_o[13] ; 8.414  ; 8.257  ; 8.903  ; 8.746  ;
1495
; wb_stb_i    ; wb_dat_o[14] ; 9.693  ; 9.536  ; 10.238 ; 10.081 ;
1496
; wb_stb_i    ; wb_dat_o[15] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
1497
; wb_stb_i    ; wb_dat_o[16] ; 9.379  ; 9.231  ; 9.932  ; 9.784  ;
1498
; wb_stb_i    ; wb_dat_o[17] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
1499
; wb_stb_i    ; wb_dat_o[18] ; 9.697  ; 9.540  ; 10.242 ; 10.085 ;
1500
; wb_stb_i    ; wb_dat_o[19] ; 8.008  ; 7.851  ; 8.495  ; 8.338  ;
1501
; wb_stb_i    ; wb_dat_o[20] ; 8.627  ; 8.470  ; 9.125  ; 8.968  ;
1502
; wb_stb_i    ; wb_dat_o[21] ; 8.354  ; 8.206  ; 8.848  ; 8.700  ;
1503
; wb_stb_i    ; wb_dat_o[22] ; 9.206  ; 9.110  ; 9.700  ; 9.604  ;
1504
; wb_stb_i    ; wb_dat_o[23] ; 8.418  ; 8.261  ; 8.908  ; 8.751  ;
1505
; wb_stb_i    ; wb_dat_o[24] ; 8.627  ; 8.470  ; 9.125  ; 8.968  ;
1506
; wb_stb_i    ; wb_dat_o[25] ; 9.697  ; 9.540  ; 10.242 ; 10.085 ;
1507
; wb_stb_i    ; wb_dat_o[26] ; 9.897  ; 9.775  ; 10.540 ; 10.418 ;
1508
; wb_stb_i    ; wb_dat_o[27] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
1509
; wb_stb_i    ; wb_dat_o[28] ; 10.157 ; 10.035 ; 10.790 ; 10.668 ;
1510
; wb_stb_i    ; wb_dat_o[29] ; 9.897  ; 9.775  ; 10.540 ; 10.418 ;
1511
; wb_stb_i    ; wb_dat_o[30] ; 9.901  ; 9.779  ; 10.531 ; 10.409 ;
1512
; wb_stb_i    ; wb_dat_o[31] ; 8.664  ; 8.507  ; 9.164  ; 9.007  ;
1513
; wb_we_i     ; wb_dat_o[0]  ; 7.600  ; 7.443  ; 8.026  ; 7.869  ;
1514
; wb_we_i     ; wb_dat_o[1]  ; 7.356  ; 7.199  ; 7.777  ; 7.620  ;
1515
; wb_we_i     ; wb_dat_o[2]  ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
1516
; wb_we_i     ; wb_dat_o[3]  ; 7.787  ; 7.630  ; 8.216  ; 8.059  ;
1517
; wb_we_i     ; wb_dat_o[4]  ; 9.398  ; 9.241  ; 9.697  ; 9.540  ;
1518
; wb_we_i     ; wb_dat_o[5]  ; 9.273  ; 9.116  ; 9.608  ; 9.451  ;
1519
; wb_we_i     ; wb_dat_o[6]  ; 9.398  ; 9.241  ; 9.697  ; 9.540  ;
1520
; wb_we_i     ; wb_dat_o[7]  ; 9.895  ; 9.773  ; 10.175 ; 10.053 ;
1521
; wb_we_i     ; wb_dat_o[8]  ; 8.008  ; 7.851  ; 8.432  ; 8.275  ;
1522
; wb_we_i     ; wb_dat_o[9]  ; 9.343  ; 9.186  ; 9.711  ; 9.554  ;
1523
; wb_we_i     ; wb_dat_o[10] ; 9.382  ; 9.260  ; 9.659  ; 9.537  ;
1524
; wb_we_i     ; wb_dat_o[11] ; 9.179  ; 9.022  ; 9.542  ; 9.385  ;
1525
; wb_we_i     ; wb_dat_o[12] ; 8.013  ; 7.856  ; 8.436  ; 8.279  ;
1526
; wb_we_i     ; wb_dat_o[13] ; 8.008  ; 7.851  ; 8.432  ; 8.275  ;
1527
; wb_we_i     ; wb_dat_o[14] ; 9.343  ; 9.186  ; 9.711  ; 9.554  ;
1528
; wb_we_i     ; wb_dat_o[15] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
1529
; wb_we_i     ; wb_dat_o[16] ; 9.037  ; 8.889  ; 9.397  ; 9.249  ;
1530
; wb_we_i     ; wb_dat_o[17] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
1531
; wb_we_i     ; wb_dat_o[18] ; 9.347  ; 9.190  ; 9.715  ; 9.558  ;
1532
; wb_we_i     ; wb_dat_o[19] ; 7.600  ; 7.443  ; 8.026  ; 7.869  ;
1533
; wb_we_i     ; wb_dat_o[20] ; 8.230  ; 8.073  ; 8.645  ; 8.488  ;
1534
; wb_we_i     ; wb_dat_o[21] ; 7.953  ; 7.805  ; 8.372  ; 8.224  ;
1535
; wb_we_i     ; wb_dat_o[22] ; 8.805  ; 8.709  ; 9.224  ; 9.128  ;
1536
; wb_we_i     ; wb_dat_o[23] ; 8.013  ; 7.856  ; 8.436  ; 8.279  ;
1537
; wb_we_i     ; wb_dat_o[24] ; 8.230  ; 8.073  ; 8.645  ; 8.488  ;
1538
; wb_we_i     ; wb_dat_o[25] ; 9.347  ; 9.190  ; 9.715  ; 9.558  ;
1539
; wb_we_i     ; wb_dat_o[26] ; 9.645  ; 9.523  ; 9.915  ; 9.793  ;
1540
; wb_we_i     ; wb_dat_o[27] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
1541
; wb_we_i     ; wb_dat_o[28] ; 9.895  ; 9.773  ; 10.175 ; 10.053 ;
1542
; wb_we_i     ; wb_dat_o[29] ; 9.645  ; 9.523  ; 9.915  ; 9.793  ;
1543
; wb_we_i     ; wb_dat_o[30] ; 9.636  ; 9.514  ; 9.919  ; 9.797  ;
1544
; wb_we_i     ; wb_dat_o[31] ; 8.269  ; 8.112  ; 8.682  ; 8.525  ;
1545
+-------------+--------------+--------+--------+--------+--------+
1546
 
1547
 
1548
----------------------------------------------
1549
; Slow 1200mV 85C Model Metastability Report ;
1550
----------------------------------------------
1551
No synchronizer chains to report.
1552
 
1553
 
1554
+--------------------------------------------------+
1555
; Slow 1200mV 0C Model Fmax Summary                ;
1556
+------------+-----------------+------------+------+
1557
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
1558
+------------+-----------------+------------+------+
1559
; 164.31 MHz ; 164.31 MHz      ; wb_clk_i   ;      ;
1560
+------------+-----------------+------------+------+
1561
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
1562
 
1563
 
1564
+------------------------------------+
1565
; Slow 1200mV 0C Model Setup Summary ;
1566
+----------+--------+----------------+
1567
; Clock    ; Slack  ; End Point TNS  ;
1568
+----------+--------+----------------+
1569
; wb_clk_i ; -2.543 ; -348.373       ;
1570
+----------+--------+----------------+
1571
 
1572
 
1573
+-----------------------------------+
1574
; Slow 1200mV 0C Model Hold Summary ;
1575
+----------+-------+----------------+
1576
; Clock    ; Slack ; End Point TNS  ;
1577
+----------+-------+----------------+
1578
; wb_clk_i ; 0.310 ; 0.000          ;
1579
+----------+-------+----------------+
1580
 
1581
 
1582
+---------------------------------------+
1583
; Slow 1200mV 0C Model Recovery Summary ;
1584
+----------+--------+-------------------+
1585
; Clock    ; Slack  ; End Point TNS     ;
1586
+----------+--------+-------------------+
1587
; wb_clk_i ; -2.156 ; -225.904          ;
1588
+----------+--------+-------------------+
1589
 
1590
 
1591
+--------------------------------------+
1592
; Slow 1200mV 0C Model Removal Summary ;
1593
+----------+-------+-------------------+
1594
; Clock    ; Slack ; End Point TNS     ;
1595
+----------+-------+-------------------+
1596
; wb_clk_i ; 2.084 ; 0.000             ;
1597
+----------+-------+-------------------+
1598
 
1599
 
1600
+--------------------------------------------------+
1601
; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
1602
+----------+--------+------------------------------+
1603
; Clock    ; Slack  ; End Point TNS                ;
1604
+----------+--------+------------------------------+
1605
; wb_clk_i ; -3.000 ; -306.000                     ;
1606
+----------+--------+------------------------------+
1607
 
1608
 
1609
+------------------------------------------------------------------------------------------------------------------------------------------+
1610
; Slow 1200mV 0C Model Setup: 'wb_clk_i'                                                                                                   ;
1611
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
1612
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1613
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
1614
; -2.543 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.864      ;
1615
; -2.530 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.851      ;
1616
; -2.463 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.452      ;
1617
; -2.461 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.450      ;
1618
; -2.461 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.450      ;
1619
; -2.451 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.440      ;
1620
; -2.449 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.438      ;
1621
; -2.449 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.438      ;
1622
; -2.398 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.695      ;
1623
; -2.385 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.682      ;
1624
; -2.375 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.697      ;
1625
; -2.372 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.694      ;
1626
; -2.371 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.693      ;
1627
; -2.370 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.692      ;
1628
; -2.367 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.688      ;
1629
; -2.365 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.686      ;
1630
; -2.358 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.347      ;
1631
; -2.349 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.338      ;
1632
; -2.345 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.667      ;
1633
; -2.343 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.665      ;
1634
; -2.343 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.665      ;
1635
; -2.334 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.631      ;
1636
; -2.322 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.643      ;
1637
; -2.321 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.618      ;
1638
; -2.309 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.630      ;
1639
; -2.309 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.631      ;
1640
; -2.307 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.629      ;
1641
; -2.307 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.629      ;
1642
; -2.293 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.615      ;
1643
; -2.293 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.615      ;
1644
; -2.291 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
1645
; -2.291 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
1646
; -2.291 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
1647
; -2.291 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
1648
; -2.285 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.607      ;
1649
; -2.283 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.605      ;
1650
; -2.283 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.605      ;
1651
; -2.278 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.600      ;
1652
; -2.276 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.260      ;
1653
; -2.276 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.598      ;
1654
; -2.276 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.598      ;
1655
; -2.274 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.258      ;
1656
; -2.274 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.258      ;
1657
; -2.273 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.570      ;
1658
; -2.271 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.568      ;
1659
; -2.270 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.567      ;
1660
; -2.268 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.565      ;
1661
; -2.266 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.250      ;
1662
; -2.264 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.248      ;
1663
; -2.264 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.248      ;
1664
; -2.258 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.555      ;
1665
; -2.255 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.552      ;
1666
; -2.248 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.545      ;
1667
; -2.245 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.542      ;
1668
; -2.242 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.539      ;
1669
; -2.235 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.532      ;
1670
; -2.231 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.215      ;
1671
; -2.229 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.213      ;
1672
; -2.229 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.213      ;
1673
; -2.228 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.217      ;
1674
; -2.226 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.215      ;
1675
; -2.226 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.215      ;
1676
; -2.226 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.216      ;
1677
; -2.224 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.214      ;
1678
; -2.224 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.214      ;
1679
; -2.219 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.203      ;
1680
; -2.217 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.201      ;
1681
; -2.217 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.201      ;
1682
; -2.215 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.537      ;
1683
; -2.210 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.532      ;
1684
; -2.206 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.527      ;
1685
; -2.204 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.525      ;
1686
; -2.204 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.525      ;
1687
; -2.197 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.518      ;
1688
; -2.194 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.516      ;
1689
; -2.191 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.513      ;
1690
; -2.189 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
1691
; -2.189 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
1692
; -2.189 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
1693
; -2.188 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.485      ;
1694
; -2.187 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.509      ;
1695
; -2.187 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.509      ;
1696
; -2.186 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.483      ;
1697
; -2.186 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.507      ;
1698
; -2.185 ; pulseCnt[12]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
1699
; -2.185 ; pulseCnt[12]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
1700
; -2.185 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
1701
; -2.185 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
1702
; -2.184 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.505      ;
1703
; -2.184 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.505      ;
1704
; -2.182 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.503      ;
1705
; -2.182 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.503      ;
1706
; -2.181 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.502      ;
1707
; -2.174 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.471      ;
1708
; -2.171 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.493      ;
1709
; -2.171 ; wb_interface_wieg:wb_interface|p2p[31]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.493      ;
1710
; -2.170 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.492      ;
1711
; -2.166 ; pulseCnt[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.488      ;
1712
; -2.165 ; wb_interface_wieg:wb_interface|pulsewidth[5]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.487      ;
1713
; -2.164 ; pulseCnt[4]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.486      ;
1714
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
1715
 
1716
 
1717
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1718
; Slow 1200mV 0C Model Hold: 'wb_clk_i'                                                                                                                                                                                                          ;
1719
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1720
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1721
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1722
; 0.310 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
1723
; 0.310 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
1724
; 0.310 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
1725
; 0.310 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
1726
; 0.311 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.511      ;
1727
; 0.311 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.511      ;
1728
; 0.330 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 0.544      ;
1729
; 0.335 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.536      ;
1730
; 0.335 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.536      ;
1731
; 0.336 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.537      ;
1732
; 0.345 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.545      ;
1733
; 0.371 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.383      ; 0.898      ;
1734
; 0.430 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.962      ;
1735
; 0.432 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.964      ;
1736
; 0.433 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.634      ;
1737
; 0.434 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
1738
; 0.434 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
1739
; 0.434 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
1740
; 0.434 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
1741
; 0.435 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
1742
; 0.435 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
1743
; 0.435 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
1744
; 0.435 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
1745
; 0.435 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.967      ;
1746
; 0.436 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.637      ;
1747
; 0.436 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.637      ;
1748
; 0.445 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.977      ;
1749
; 0.456 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 0.670      ;
1750
; 0.469 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.669      ;
1751
; 0.476 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.677      ;
1752
; 0.482 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.683      ;
1753
; 0.483 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.684      ;
1754
; 0.505 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
1755
; 0.505 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
1756
; 0.505 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
1757
; 0.507 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.720      ;
1758
; 0.508 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.721      ;
1759
; 0.508 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.721      ;
1760
; 0.510 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.710      ;
1761
; 0.515 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.716      ;
1762
; 0.516 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.717      ;
1763
; 0.516 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.717      ;
1764
; 0.516 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.716      ;
1765
; 0.516 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.716      ;
1766
; 0.517 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.718      ;
1767
; 0.517 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1768
; 0.517 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1769
; 0.517 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1770
; 0.517 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1771
; 0.517 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1772
; 0.517 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
1773
; 0.518 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.719      ;
1774
; 0.518 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.719      ;
1775
; 0.518 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
1776
; 0.518 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
1777
; 0.518 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
1778
; 0.518 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
1779
; 0.518 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
1780
; 0.518 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.050      ;
1781
; 0.519 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1782
; 0.519 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1783
; 0.519 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1784
; 0.519 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1785
; 0.519 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1786
; 0.519 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.051      ;
1787
; 0.519 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1788
; 0.519 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1789
; 0.519 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1790
; 0.519 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1791
; 0.519 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1792
; 0.519 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1793
; 0.519 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1794
; 0.519 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1795
; 0.519 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1796
; 0.519 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
1797
; 0.520 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
1798
; 0.520 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
1799
; 0.520 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
1800
; 0.520 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
1801
; 0.520 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
1802
; 0.521 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.721      ;
1803
; 0.521 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.721      ;
1804
; 0.522 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.722      ;
1805
; 0.524 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
1806
; 0.524 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
1807
; 0.524 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
1808
; 0.526 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.726      ;
1809
; 0.526 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.058      ;
1810
; 0.528 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.060      ;
1811
; 0.528 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.060      ;
1812
; 0.532 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.064      ;
1813
; 0.534 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.734      ;
1814
; 0.535 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.736      ;
1815
; 0.535 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.735      ;
1816
; 0.535 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.735      ;
1817
; 0.537 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.738      ;
1818
; 0.539 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.071      ;
1819
; 0.541 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.073      ;
1820
; 0.564 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.765      ;
1821
; 0.585 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.785      ;
1822
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1823
 
1824
 
1825
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1826
; Slow 1200mV 0C Model Recovery: 'wb_clk_i'                                                                                                                                                                           ;
1827
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1828
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1829
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1830
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1831
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1832
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1833
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1834
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1835
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1836
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1837
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1838
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
1839
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
1840
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
1841
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
1842
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1843
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1844
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1845
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1846
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1847
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1848
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1849
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
1850
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1851
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1852
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1853
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1854
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1855
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1856
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1857
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
1858
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1859
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1860
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1861
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1862
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1863
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1864
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1865
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
1866
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1867
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1868
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1869
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1870
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1871
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1872
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1873
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1874
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
1875
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1876
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1877
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1878
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1879
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1880
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
1881
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1882
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1883
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1884
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1885
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1886
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1887
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1888
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1889
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
1890
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1891
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1892
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1893
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1894
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1895
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
1896
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1897
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1898
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1899
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
1900
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
1901
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
1902
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1903
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1904
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1905
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1906
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
1907
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
1908
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
1909
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1910
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1911
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1912
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1913
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1914
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
1915
; -1.536 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.244      ; 2.775      ;
1916
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1917
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1918
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1919
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1920
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1921
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1922
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1923
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
1924
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
1925
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
1926
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
1927
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
1928
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
1929
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
1930
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1931
 
1932
 
1933
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1934
; Slow 1200mV 0C Model Removal: 'wb_clk_i'                                                                                                                                                                           ;
1935
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1936
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1937
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1938
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1939
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1940
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1941
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1942
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1943
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1944
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1945
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
1946
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1947
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
1948
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1949
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
1950
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1951
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
1952
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1953
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
1954
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1955
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1956
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1957
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1958
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1959
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
1960
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1961
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1962
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1963
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1964
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1965
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1966
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1967
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
1968
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1969
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1970
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1971
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1972
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1973
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1974
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
1975
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
1976
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
1977
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
1978
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1979
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1980
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1981
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1982
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1983
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1984
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1985
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
1986
; 2.098 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.403      ; 2.645      ;
1987
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
1988
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1989
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
1990
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1991
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
1992
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
1993
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1994
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1995
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
1996
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1997
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
1998
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
1999
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
2000
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
2001
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2002
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
2003
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
2004
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
2005
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2006
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2007
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2008
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2009
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2010
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2011
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2012
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
2013
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2014
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2015
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
2016
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2017
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2018
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2019
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
2020
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
2021
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2022
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2023
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2024
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2025
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2026
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2027
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2028
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
2029
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2030
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2031
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2032
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2033
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2034
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2035
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2036
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
2037
; 2.426 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 2.640      ;
2038
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
2039
 
2040
 
2041
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2042
; Slow 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                      ;
2043
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
2044
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
2045
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
2046
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
2047
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
2048
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
2049
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
2050
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
2051
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
2052
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
2053
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
2054
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
2055
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
2056
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
2057
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
2058
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
2059
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
2060
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
2061
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
2062
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
2063
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
2064
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
2065
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
2066
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
2067
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
2068
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
2069
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
2070
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
2071
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
2072
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
2073
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
2074
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
2075
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
2076
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
2077
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
2078
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
2079
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
2080
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
2081
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
2082
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
2083
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
2084
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
2085
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
2086
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
2087
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
2088
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
2089
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
2090
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
2091
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
2092
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
2093
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
2094
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
2095
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
2096
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
2097
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
2098
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
2099
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
2100
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
2101
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
2102
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
2103
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
2104
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
2105
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
2106
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
2107
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
2108
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
2109
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
2110
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
2111
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
2112
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
2113
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
2114
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
2115
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
2116
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
2117
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
2118
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
2119
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
2120
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
2121
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
2122
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
2123
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
2124
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
2125
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
2126
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
2127
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
2128
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
2129
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
2130
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
2131
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
2132
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
2133
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
2134
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
2135
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
2136
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
2137
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
2138
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
2139
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
2140
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
2141
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
2142
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
2143
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
2144
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
2145
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
2146
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
2147
 
2148
 
2149
+---------------------------------------------------------------------------+
2150
; Setup Times                                                               ;
2151
+---------------+------------+-------+-------+------------+-----------------+
2152
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2153
+---------------+------------+-------+-------+------------+-----------------+
2154
; wb_adr_i[*]   ; wb_clk_i   ; 3.746 ; 4.094 ; Rise       ; wb_clk_i        ;
2155
;  wb_adr_i[0]  ; wb_clk_i   ; 2.263 ; 2.598 ; Rise       ; wb_clk_i        ;
2156
;  wb_adr_i[2]  ; wb_clk_i   ; 3.496 ; 3.844 ; Rise       ; wb_clk_i        ;
2157
;  wb_adr_i[3]  ; wb_clk_i   ; 3.334 ; 3.699 ; Rise       ; wb_clk_i        ;
2158
;  wb_adr_i[4]  ; wb_clk_i   ; 3.456 ; 3.834 ; Rise       ; wb_clk_i        ;
2159
;  wb_adr_i[5]  ; wb_clk_i   ; 3.746 ; 4.094 ; Rise       ; wb_clk_i        ;
2160
; wb_cyc_i      ; wb_clk_i   ; 2.603 ; 2.979 ; Rise       ; wb_clk_i        ;
2161
; wb_stb_i      ; wb_clk_i   ; 2.840 ; 3.236 ; Rise       ; wb_clk_i        ;
2162
; wb_we_i       ; wb_clk_i   ; 2.205 ; 2.575 ; Rise       ; wb_clk_i        ;
2163
; wb_adr_i[*]   ; wb_clk_i   ; 6.334 ; 6.793 ; Fall       ; wb_clk_i        ;
2164
;  wb_adr_i[0]  ; wb_clk_i   ; 4.548 ; 4.980 ; Fall       ; wb_clk_i        ;
2165
;  wb_adr_i[1]  ; wb_clk_i   ; 4.679 ; 4.982 ; Fall       ; wb_clk_i        ;
2166
;  wb_adr_i[2]  ; wb_clk_i   ; 6.084 ; 6.543 ; Fall       ; wb_clk_i        ;
2167
;  wb_adr_i[3]  ; wb_clk_i   ; 5.922 ; 6.398 ; Fall       ; wb_clk_i        ;
2168
;  wb_adr_i[4]  ; wb_clk_i   ; 6.044 ; 6.533 ; Fall       ; wb_clk_i        ;
2169
;  wb_adr_i[5]  ; wb_clk_i   ; 6.334 ; 6.793 ; Fall       ; wb_clk_i        ;
2170
; wb_cyc_i      ; wb_clk_i   ; 3.989 ; 4.293 ; Fall       ; wb_clk_i        ;
2171
; wb_dat_i[*]   ; wb_clk_i   ; 2.473 ; 2.851 ; Fall       ; wb_clk_i        ;
2172
;  wb_dat_i[0]  ; wb_clk_i   ; 1.948 ; 2.306 ; Fall       ; wb_clk_i        ;
2173
;  wb_dat_i[1]  ; wb_clk_i   ; 1.508 ; 1.852 ; Fall       ; wb_clk_i        ;
2174
;  wb_dat_i[2]  ; wb_clk_i   ; 1.366 ; 1.715 ; Fall       ; wb_clk_i        ;
2175
;  wb_dat_i[3]  ; wb_clk_i   ; 1.943 ; 2.296 ; Fall       ; wb_clk_i        ;
2176
;  wb_dat_i[4]  ; wb_clk_i   ; 1.985 ; 2.350 ; Fall       ; wb_clk_i        ;
2177
;  wb_dat_i[5]  ; wb_clk_i   ; 1.741 ; 2.099 ; Fall       ; wb_clk_i        ;
2178
;  wb_dat_i[6]  ; wb_clk_i   ; 2.279 ; 2.707 ; Fall       ; wb_clk_i        ;
2179
;  wb_dat_i[7]  ; wb_clk_i   ; 1.722 ; 2.088 ; Fall       ; wb_clk_i        ;
2180
;  wb_dat_i[8]  ; wb_clk_i   ; 2.168 ; 2.541 ; Fall       ; wb_clk_i        ;
2181
;  wb_dat_i[9]  ; wb_clk_i   ; 1.752 ; 2.156 ; Fall       ; wb_clk_i        ;
2182
;  wb_dat_i[10] ; wb_clk_i   ; 2.218 ; 2.584 ; Fall       ; wb_clk_i        ;
2183
;  wb_dat_i[11] ; wb_clk_i   ; 1.974 ; 2.346 ; Fall       ; wb_clk_i        ;
2184
;  wb_dat_i[12] ; wb_clk_i   ; 1.689 ; 2.088 ; Fall       ; wb_clk_i        ;
2185
;  wb_dat_i[13] ; wb_clk_i   ; 2.297 ; 2.683 ; Fall       ; wb_clk_i        ;
2186
;  wb_dat_i[14] ; wb_clk_i   ; 2.153 ; 2.556 ; Fall       ; wb_clk_i        ;
2187
;  wb_dat_i[15] ; wb_clk_i   ; 1.904 ; 2.273 ; Fall       ; wb_clk_i        ;
2188
;  wb_dat_i[16] ; wb_clk_i   ; 1.931 ; 2.309 ; Fall       ; wb_clk_i        ;
2189
;  wb_dat_i[17] ; wb_clk_i   ; 2.100 ; 2.481 ; Fall       ; wb_clk_i        ;
2190
;  wb_dat_i[18] ; wb_clk_i   ; 1.941 ; 2.327 ; Fall       ; wb_clk_i        ;
2191
;  wb_dat_i[19] ; wb_clk_i   ; 1.977 ; 2.329 ; Fall       ; wb_clk_i        ;
2192
;  wb_dat_i[20] ; wb_clk_i   ; 2.473 ; 2.851 ; Fall       ; wb_clk_i        ;
2193
;  wb_dat_i[21] ; wb_clk_i   ; 2.045 ; 2.441 ; Fall       ; wb_clk_i        ;
2194
;  wb_dat_i[22] ; wb_clk_i   ; 1.062 ; 1.407 ; Fall       ; wb_clk_i        ;
2195
;  wb_dat_i[23] ; wb_clk_i   ; 1.761 ; 2.074 ; Fall       ; wb_clk_i        ;
2196
;  wb_dat_i[24] ; wb_clk_i   ; 2.042 ; 2.396 ; Fall       ; wb_clk_i        ;
2197
;  wb_dat_i[25] ; wb_clk_i   ; 1.680 ; 2.084 ; Fall       ; wb_clk_i        ;
2198
;  wb_dat_i[26] ; wb_clk_i   ; 1.770 ; 2.196 ; Fall       ; wb_clk_i        ;
2199
;  wb_dat_i[27] ; wb_clk_i   ; 1.836 ; 2.221 ; Fall       ; wb_clk_i        ;
2200
;  wb_dat_i[28] ; wb_clk_i   ; 1.591 ; 1.928 ; Fall       ; wb_clk_i        ;
2201
;  wb_dat_i[29] ; wb_clk_i   ; 1.913 ; 2.322 ; Fall       ; wb_clk_i        ;
2202
;  wb_dat_i[30] ; wb_clk_i   ; 2.103 ; 2.527 ; Fall       ; wb_clk_i        ;
2203
;  wb_dat_i[31] ; wb_clk_i   ; 2.441 ; 2.810 ; Fall       ; wb_clk_i        ;
2204
; wb_stb_i      ; wb_clk_i   ; 4.226 ; 4.550 ; Fall       ; wb_clk_i        ;
2205
; wb_we_i       ; wb_clk_i   ; 3.239 ; 3.519 ; Fall       ; wb_clk_i        ;
2206
+---------------+------------+-------+-------+------------+-----------------+
2207
 
2208
 
2209
+-----------------------------------------------------------------------------+
2210
; Hold Times                                                                  ;
2211
+---------------+------------+--------+--------+------------+-----------------+
2212
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
2213
+---------------+------------+--------+--------+------------+-----------------+
2214
; wb_adr_i[*]   ; wb_clk_i   ; -1.280 ; -1.604 ; Rise       ; wb_clk_i        ;
2215
;  wb_adr_i[0]  ; wb_clk_i   ; -1.280 ; -1.604 ; Rise       ; wb_clk_i        ;
2216
;  wb_adr_i[2]  ; wb_clk_i   ; -2.871 ; -3.221 ; Rise       ; wb_clk_i        ;
2217
;  wb_adr_i[3]  ; wb_clk_i   ; -2.714 ; -3.082 ; Rise       ; wb_clk_i        ;
2218
;  wb_adr_i[4]  ; wb_clk_i   ; -2.831 ; -3.211 ; Rise       ; wb_clk_i        ;
2219
;  wb_adr_i[5]  ; wb_clk_i   ; -3.111 ; -3.462 ; Rise       ; wb_clk_i        ;
2220
; wb_cyc_i      ; wb_clk_i   ; -1.601 ; -1.974 ; Rise       ; wb_clk_i        ;
2221
; wb_stb_i      ; wb_clk_i   ; -1.817 ; -2.197 ; Rise       ; wb_clk_i        ;
2222
; wb_we_i       ; wb_clk_i   ; -1.219 ; -1.583 ; Rise       ; wb_clk_i        ;
2223
; wb_adr_i[*]   ; wb_clk_i   ; -1.789 ; -2.144 ; Fall       ; wb_clk_i        ;
2224
;  wb_adr_i[0]  ; wb_clk_i   ; -1.789 ; -2.144 ; Fall       ; wb_clk_i        ;
2225
;  wb_adr_i[1]  ; wb_clk_i   ; -2.391 ; -2.811 ; Fall       ; wb_clk_i        ;
2226
;  wb_adr_i[2]  ; wb_clk_i   ; -3.904 ; -4.269 ; Fall       ; wb_clk_i        ;
2227
;  wb_adr_i[3]  ; wb_clk_i   ; -3.747 ; -4.130 ; Fall       ; wb_clk_i        ;
2228
;  wb_adr_i[4]  ; wb_clk_i   ; -3.864 ; -4.259 ; Fall       ; wb_clk_i        ;
2229
;  wb_adr_i[5]  ; wb_clk_i   ; -4.144 ; -4.510 ; Fall       ; wb_clk_i        ;
2230
; wb_cyc_i      ; wb_clk_i   ; -2.362 ; -2.724 ; Fall       ; wb_clk_i        ;
2231
; wb_dat_i[*]   ; wb_clk_i   ; -0.348 ; -0.675 ; Fall       ; wb_clk_i        ;
2232
;  wb_dat_i[0]  ; wb_clk_i   ; -0.619 ; -0.943 ; Fall       ; wb_clk_i        ;
2233
;  wb_dat_i[1]  ; wb_clk_i   ; -0.405 ; -0.732 ; Fall       ; wb_clk_i        ;
2234
;  wb_dat_i[2]  ; wb_clk_i   ; -0.587 ; -0.930 ; Fall       ; wb_clk_i        ;
2235
;  wb_dat_i[3]  ; wb_clk_i   ; -0.528 ; -0.871 ; Fall       ; wb_clk_i        ;
2236
;  wb_dat_i[4]  ; wb_clk_i   ; -0.950 ; -1.318 ; Fall       ; wb_clk_i        ;
2237
;  wb_dat_i[5]  ; wb_clk_i   ; -0.348 ; -0.675 ; Fall       ; wb_clk_i        ;
2238
;  wb_dat_i[6]  ; wb_clk_i   ; -1.266 ; -1.664 ; Fall       ; wb_clk_i        ;
2239
;  wb_dat_i[7]  ; wb_clk_i   ; -0.666 ; -1.005 ; Fall       ; wb_clk_i        ;
2240
;  wb_dat_i[8]  ; wb_clk_i   ; -0.841 ; -1.206 ; Fall       ; wb_clk_i        ;
2241
;  wb_dat_i[9]  ; wb_clk_i   ; -0.894 ; -1.277 ; Fall       ; wb_clk_i        ;
2242
;  wb_dat_i[10] ; wb_clk_i   ; -1.433 ; -1.788 ; Fall       ; wb_clk_i        ;
2243
;  wb_dat_i[11] ; wb_clk_i   ; -1.121 ; -1.467 ; Fall       ; wb_clk_i        ;
2244
;  wb_dat_i[12] ; wb_clk_i   ; -0.831 ; -1.192 ; Fall       ; wb_clk_i        ;
2245
;  wb_dat_i[13] ; wb_clk_i   ; -1.167 ; -1.523 ; Fall       ; wb_clk_i        ;
2246
;  wb_dat_i[14] ; wb_clk_i   ; -0.986 ; -1.347 ; Fall       ; wb_clk_i        ;
2247
;  wb_dat_i[15] ; wb_clk_i   ; -0.886 ; -1.267 ; Fall       ; wb_clk_i        ;
2248
;  wb_dat_i[16] ; wb_clk_i   ; -1.183 ; -1.543 ; Fall       ; wb_clk_i        ;
2249
;  wb_dat_i[17] ; wb_clk_i   ; -1.000 ; -1.346 ; Fall       ; wb_clk_i        ;
2250
;  wb_dat_i[18] ; wb_clk_i   ; -1.005 ; -1.384 ; Fall       ; wb_clk_i        ;
2251
;  wb_dat_i[19] ; wb_clk_i   ; -1.048 ; -1.397 ; Fall       ; wb_clk_i        ;
2252
;  wb_dat_i[20] ; wb_clk_i   ; -1.404 ; -1.756 ; Fall       ; wb_clk_i        ;
2253
;  wb_dat_i[21] ; wb_clk_i   ; -1.207 ; -1.580 ; Fall       ; wb_clk_i        ;
2254
;  wb_dat_i[22] ; wb_clk_i   ; -0.654 ; -0.976 ; Fall       ; wb_clk_i        ;
2255
;  wb_dat_i[23] ; wb_clk_i   ; -0.868 ; -1.173 ; Fall       ; wb_clk_i        ;
2256
;  wb_dat_i[24] ; wb_clk_i   ; -0.766 ; -1.119 ; Fall       ; wb_clk_i        ;
2257
;  wb_dat_i[25] ; wb_clk_i   ; -0.894 ; -1.283 ; Fall       ; wb_clk_i        ;
2258
;  wb_dat_i[26] ; wb_clk_i   ; -0.919 ; -1.315 ; Fall       ; wb_clk_i        ;
2259
;  wb_dat_i[27] ; wb_clk_i   ; -1.004 ; -1.397 ; Fall       ; wb_clk_i        ;
2260
;  wb_dat_i[28] ; wb_clk_i   ; -0.631 ; -0.971 ; Fall       ; wb_clk_i        ;
2261
;  wb_dat_i[29] ; wb_clk_i   ; -0.852 ; -1.231 ; Fall       ; wb_clk_i        ;
2262
;  wb_dat_i[30] ; wb_clk_i   ; -1.032 ; -1.439 ; Fall       ; wb_clk_i        ;
2263
;  wb_dat_i[31] ; wb_clk_i   ; -1.694 ; -2.041 ; Fall       ; wb_clk_i        ;
2264
; wb_stb_i      ; wb_clk_i   ; -2.572 ; -2.947 ; Fall       ; wb_clk_i        ;
2265
; wb_we_i       ; wb_clk_i   ; -1.641 ; -1.979 ; Fall       ; wb_clk_i        ;
2266
+---------------+------------+--------+--------+------------+-----------------+
2267
 
2268
 
2269
+---------------------------------------------------------------------------+
2270
; Clock to Output Times                                                     ;
2271
+---------------+------------+-------+-------+------------+-----------------+
2272
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2273
+---------------+------------+-------+-------+------------+-----------------+
2274
; wb_ack_o      ; wb_clk_i   ; 6.366 ; 6.331 ; Rise       ; wb_clk_i        ;
2275
; wb_err_o      ; wb_clk_i   ; 6.130 ; 6.044 ; Rise       ; wb_clk_i        ;
2276
; wb_rty_o      ; wb_clk_i   ; 5.640 ; 5.562 ; Rise       ; wb_clk_i        ;
2277
; one_o         ; wb_clk_i   ; 6.339 ; 6.380 ; Fall       ; wb_clk_i        ;
2278
; wb_dat_o[*]   ; wb_clk_i   ; 8.736 ; 8.655 ; Fall       ; wb_clk_i        ;
2279
;  wb_dat_o[0]  ; wb_clk_i   ; 7.193 ; 6.984 ; Fall       ; wb_clk_i        ;
2280
;  wb_dat_o[1]  ; wb_clk_i   ; 8.184 ; 8.052 ; Fall       ; wb_clk_i        ;
2281
;  wb_dat_o[2]  ; wb_clk_i   ; 7.681 ; 7.634 ; Fall       ; wb_clk_i        ;
2282
;  wb_dat_o[3]  ; wb_clk_i   ; 7.512 ; 7.314 ; Fall       ; wb_clk_i        ;
2283
;  wb_dat_o[4]  ; wb_clk_i   ; 7.916 ; 7.795 ; Fall       ; wb_clk_i        ;
2284
;  wb_dat_o[5]  ; wb_clk_i   ; 7.445 ; 7.297 ; Fall       ; wb_clk_i        ;
2285
;  wb_dat_o[6]  ; wb_clk_i   ; 8.018 ; 7.935 ; Fall       ; wb_clk_i        ;
2286
;  wb_dat_o[7]  ; wb_clk_i   ; 8.214 ; 8.102 ; Fall       ; wb_clk_i        ;
2287
;  wb_dat_o[8]  ; wb_clk_i   ; 7.272 ; 7.111 ; Fall       ; wb_clk_i        ;
2288
;  wb_dat_o[9]  ; wb_clk_i   ; 8.736 ; 8.655 ; Fall       ; wb_clk_i        ;
2289
;  wb_dat_o[10] ; wb_clk_i   ; 8.348 ; 8.254 ; Fall       ; wb_clk_i        ;
2290
;  wb_dat_o[11] ; wb_clk_i   ; 7.559 ; 7.448 ; Fall       ; wb_clk_i        ;
2291
;  wb_dat_o[12] ; wb_clk_i   ; 6.620 ; 6.467 ; Fall       ; wb_clk_i        ;
2292
;  wb_dat_o[13] ; wb_clk_i   ; 6.637 ; 6.470 ; Fall       ; wb_clk_i        ;
2293
;  wb_dat_o[14] ; wb_clk_i   ; 7.750 ; 7.700 ; Fall       ; wb_clk_i        ;
2294
;  wb_dat_o[15] ; wb_clk_i   ; 7.545 ; 7.485 ; Fall       ; wb_clk_i        ;
2295
;  wb_dat_o[16] ; wb_clk_i   ; 7.136 ; 7.112 ; Fall       ; wb_clk_i        ;
2296
;  wb_dat_o[17] ; wb_clk_i   ; 7.278 ; 7.205 ; Fall       ; wb_clk_i        ;
2297
;  wb_dat_o[18] ; wb_clk_i   ; 8.012 ; 7.943 ; Fall       ; wb_clk_i        ;
2298
;  wb_dat_o[19] ; wb_clk_i   ; 5.909 ; 5.826 ; Fall       ; wb_clk_i        ;
2299
;  wb_dat_o[20] ; wb_clk_i   ; 6.684 ; 6.571 ; Fall       ; wb_clk_i        ;
2300
;  wb_dat_o[21] ; wb_clk_i   ; 6.147 ; 6.040 ; Fall       ; wb_clk_i        ;
2301
;  wb_dat_o[22] ; wb_clk_i   ; 7.078 ; 7.043 ; Fall       ; wb_clk_i        ;
2302
;  wb_dat_o[23] ; wb_clk_i   ; 7.072 ; 6.872 ; Fall       ; wb_clk_i        ;
2303
;  wb_dat_o[24] ; wb_clk_i   ; 6.873 ; 6.711 ; Fall       ; wb_clk_i        ;
2304
;  wb_dat_o[25] ; wb_clk_i   ; 8.273 ; 8.178 ; Fall       ; wb_clk_i        ;
2305
;  wb_dat_o[26] ; wb_clk_i   ; 7.389 ; 7.355 ; Fall       ; wb_clk_i        ;
2306
;  wb_dat_o[27] ; wb_clk_i   ; 7.074 ; 7.033 ; Fall       ; wb_clk_i        ;
2307
;  wb_dat_o[28] ; wb_clk_i   ; 7.319 ; 7.259 ; Fall       ; wb_clk_i        ;
2308
;  wb_dat_o[29] ; wb_clk_i   ; 7.311 ; 7.238 ; Fall       ; wb_clk_i        ;
2309
;  wb_dat_o[30] ; wb_clk_i   ; 6.671 ; 6.635 ; Fall       ; wb_clk_i        ;
2310
;  wb_dat_o[31] ; wb_clk_i   ; 6.447 ; 6.376 ; Fall       ; wb_clk_i        ;
2311
; zero_o        ; wb_clk_i   ; 8.151 ; 8.164 ; Fall       ; wb_clk_i        ;
2312
+---------------+------------+-------+-------+------------+-----------------+
2313
 
2314
 
2315
+---------------------------------------------------------------------------+
2316
; Minimum Clock to Output Times                                             ;
2317
+---------------+------------+-------+-------+------------+-----------------+
2318
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2319
+---------------+------------+-------+-------+------------+-----------------+
2320
; wb_ack_o      ; wb_clk_i   ; 6.178 ; 6.143 ; Rise       ; wb_clk_i        ;
2321
; wb_err_o      ; wb_clk_i   ; 5.923 ; 5.838 ; Rise       ; wb_clk_i        ;
2322
; wb_rty_o      ; wb_clk_i   ; 5.452 ; 5.374 ; Rise       ; wb_clk_i        ;
2323
; one_o         ; wb_clk_i   ; 6.129 ; 6.173 ; Fall       ; wb_clk_i        ;
2324
; wb_dat_o[*]   ; wb_clk_i   ; 5.521 ; 5.390 ; Fall       ; wb_clk_i        ;
2325
;  wb_dat_o[0]  ; wb_clk_i   ; 6.419 ; 6.283 ; Fall       ; wb_clk_i        ;
2326
;  wb_dat_o[1]  ; wb_clk_i   ; 5.871 ; 5.762 ; Fall       ; wb_clk_i        ;
2327
;  wb_dat_o[2]  ; wb_clk_i   ; 7.069 ; 6.955 ; Fall       ; wb_clk_i        ;
2328
;  wb_dat_o[3]  ; wb_clk_i   ; 5.962 ; 5.847 ; Fall       ; wb_clk_i        ;
2329
;  wb_dat_o[4]  ; wb_clk_i   ; 6.428 ; 6.260 ; Fall       ; wb_clk_i        ;
2330
;  wb_dat_o[5]  ; wb_clk_i   ; 6.104 ; 5.976 ; Fall       ; wb_clk_i        ;
2331
;  wb_dat_o[6]  ; wb_clk_i   ; 6.644 ; 6.540 ; Fall       ; wb_clk_i        ;
2332
;  wb_dat_o[7]  ; wb_clk_i   ; 6.992 ; 6.902 ; Fall       ; wb_clk_i        ;
2333
;  wb_dat_o[8]  ; wb_clk_i   ; 6.269 ; 6.087 ; Fall       ; wb_clk_i        ;
2334
;  wb_dat_o[9]  ; wb_clk_i   ; 7.614 ; 7.534 ; Fall       ; wb_clk_i        ;
2335
;  wb_dat_o[10] ; wb_clk_i   ; 7.824 ; 7.677 ; Fall       ; wb_clk_i        ;
2336
;  wb_dat_o[11] ; wb_clk_i   ; 7.165 ; 7.036 ; Fall       ; wb_clk_i        ;
2337
;  wb_dat_o[12] ; wb_clk_i   ; 5.865 ; 5.702 ; Fall       ; wb_clk_i        ;
2338
;  wb_dat_o[13] ; wb_clk_i   ; 5.895 ; 5.734 ; Fall       ; wb_clk_i        ;
2339
;  wb_dat_o[14] ; wb_clk_i   ; 7.249 ; 7.143 ; Fall       ; wb_clk_i        ;
2340
;  wb_dat_o[15] ; wb_clk_i   ; 7.144 ; 7.065 ; Fall       ; wb_clk_i        ;
2341
;  wb_dat_o[16] ; wb_clk_i   ; 6.655 ; 6.576 ; Fall       ; wb_clk_i        ;
2342
;  wb_dat_o[17] ; wb_clk_i   ; 7.014 ; 6.897 ; Fall       ; wb_clk_i        ;
2343
;  wb_dat_o[18] ; wb_clk_i   ; 7.717 ; 7.604 ; Fall       ; wb_clk_i        ;
2344
;  wb_dat_o[19] ; wb_clk_i   ; 5.521 ; 5.390 ; Fall       ; wb_clk_i        ;
2345
;  wb_dat_o[20] ; wb_clk_i   ; 6.207 ; 6.039 ; Fall       ; wb_clk_i        ;
2346
;  wb_dat_o[21] ; wb_clk_i   ; 5.923 ; 5.775 ; Fall       ; wb_clk_i        ;
2347
;  wb_dat_o[22] ; wb_clk_i   ; 6.662 ; 6.634 ; Fall       ; wb_clk_i        ;
2348
;  wb_dat_o[23] ; wb_clk_i   ; 6.112 ; 5.926 ; Fall       ; wb_clk_i        ;
2349
;  wb_dat_o[24] ; wb_clk_i   ; 6.114 ; 5.943 ; Fall       ; wb_clk_i        ;
2350
;  wb_dat_o[25] ; wb_clk_i   ; 7.633 ; 7.516 ; Fall       ; wb_clk_i        ;
2351
;  wb_dat_o[26] ; wb_clk_i   ; 6.904 ; 6.812 ; Fall       ; wb_clk_i        ;
2352
;  wb_dat_o[27] ; wb_clk_i   ; 6.634 ; 6.544 ; Fall       ; wb_clk_i        ;
2353
;  wb_dat_o[28] ; wb_clk_i   ; 6.828 ; 6.710 ; Fall       ; wb_clk_i        ;
2354
;  wb_dat_o[29] ; wb_clk_i   ; 7.043 ; 6.927 ; Fall       ; wb_clk_i        ;
2355
;  wb_dat_o[30] ; wb_clk_i   ; 6.438 ; 6.359 ; Fall       ; wb_clk_i        ;
2356
;  wb_dat_o[31] ; wb_clk_i   ; 6.032 ; 5.912 ; Fall       ; wb_clk_i        ;
2357
; zero_o        ; wb_clk_i   ; 7.870 ; 7.886 ; Fall       ; wb_clk_i        ;
2358
+---------------+------------+-------+-------+------------+-----------------+
2359
 
2360
 
2361
+----------------------------------------------------------------+
2362
; Propagation Delay                                              ;
2363
+-------------+--------------+--------+--------+--------+--------+
2364
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
2365
+-------------+--------------+--------+--------+--------+--------+
2366
; wb_adr_i[0] ; wb_dat_o[0]  ; 8.299  ; 8.409  ; 8.932  ; 8.439  ;
2367
; wb_adr_i[0] ; wb_dat_o[1]  ; 8.632  ; 8.786  ; 9.251  ; 8.840  ;
2368
; wb_adr_i[0] ; wb_dat_o[2]  ; 9.023  ; 9.202  ; 9.656  ; 9.288  ;
2369
; wb_adr_i[0] ; wb_dat_o[3]  ; 8.902  ; 9.023  ; 9.523  ; 9.075  ;
2370
; wb_adr_i[0] ; wb_dat_o[4]  ; 9.856  ; 10.117 ; 10.618 ; 10.008 ;
2371
; wb_adr_i[0] ; wb_dat_o[5]  ; 9.826  ; 9.831  ; 10.329 ; 9.986  ;
2372
; wb_adr_i[0] ; wb_dat_o[6]  ; 9.965  ; 10.258 ; 10.718 ; 10.156 ;
2373
; wb_adr_i[0] ; wb_dat_o[7]  ; 10.044 ; 10.344 ; 10.792 ; 10.242 ;
2374
; wb_adr_i[0] ; wb_dat_o[8]  ; 8.865  ; 8.927  ; 9.425  ; 9.032  ;
2375
; wb_adr_i[0] ; wb_dat_o[9]  ; 10.279 ; 10.341 ; 10.773 ; 10.503 ;
2376
; wb_adr_i[0] ; wb_dat_o[10] ; 10.001 ; 10.154 ; 10.633 ; 10.196 ;
2377
; wb_adr_i[0] ; wb_dat_o[11] ; 9.536  ; 9.462  ; 9.917  ; 9.775  ;
2378
; wb_adr_i[0] ; wb_dat_o[12] ; 7.970  ; 8.106  ; 8.593  ; 8.147  ;
2379
; wb_adr_i[0] ; wb_dat_o[13] ; 7.994  ; 8.132  ; 8.618  ; 8.176  ;
2380
; wb_adr_i[0] ; wb_dat_o[14] ; 9.405  ; 9.605  ; 10.041 ; 9.644  ;
2381
; wb_adr_i[0] ; wb_dat_o[15] ; 9.514  ; 9.491  ; 9.895  ; 9.805  ;
2382
; wb_adr_i[0] ; wb_dat_o[16] ; 8.789  ; 9.012  ; 9.420  ; 9.054  ;
2383
; wb_adr_i[0] ; wb_dat_o[17] ; 9.382  ; 9.323  ; 9.767  ; 9.632  ;
2384
; wb_adr_i[0] ; wb_dat_o[18] ; 10.084 ; 10.082 ; 10.510 ; 10.334 ;
2385
; wb_adr_i[0] ; wb_dat_o[19] ; 7.613  ; 7.779  ; 8.223  ; 7.823  ;
2386
; wb_adr_i[0] ; wb_dat_o[20] ; 8.325  ; 8.456  ; 8.948  ; 8.497  ;
2387
; wb_adr_i[0] ; wb_dat_o[21] ; 8.258  ; 8.160  ; 8.631  ; 8.472  ;
2388
; wb_adr_i[0] ; wb_dat_o[22] ; 8.553  ; 8.577  ; 8.974  ; 8.820  ;
2389
; wb_adr_i[0] ; wb_dat_o[23] ; 8.225  ; 8.332  ; 8.833  ; 8.378  ;
2390
; wb_adr_i[0] ; wb_dat_o[24] ; 8.203  ; 8.298  ; 8.785  ; 8.384  ;
2391
; wb_adr_i[0] ; wb_dat_o[25] ; 9.812  ; 9.992  ; 10.421 ; 10.037 ;
2392
; wb_adr_i[0] ; wb_dat_o[26] ; 8.848  ; 9.054  ; 9.491  ; 9.098  ;
2393
; wb_adr_i[0] ; wb_dat_o[27] ; 8.568  ; 8.771  ; 9.199  ; 8.821  ;
2394
; wb_adr_i[0] ; wb_dat_o[28] ; 8.767  ; 8.944  ; 9.407  ; 8.990  ;
2395
; wb_adr_i[0] ; wb_dat_o[29] ; 9.215  ; 9.149  ; 9.610  ; 9.458  ;
2396
; wb_adr_i[0] ; wb_dat_o[30] ; 9.045  ; 9.206  ; 9.595  ; 9.319  ;
2397
; wb_adr_i[0] ; wb_dat_o[31] ; 8.434  ; 8.741  ; 9.175  ; 8.646  ;
2398
; wb_adr_i[1] ; wb_dat_o[0]  ; 8.352  ; 8.464  ; 9.047  ; 8.511  ;
2399
; wb_adr_i[1] ; wb_dat_o[1]  ; 8.676  ; 8.841  ; 9.366  ; 8.921  ;
2400
; wb_adr_i[1] ; wb_dat_o[2]  ; 9.066  ; 9.257  ; 9.771  ; 9.403  ;
2401
; wb_adr_i[1] ; wb_dat_o[3]  ; 8.945  ; 9.078  ; 9.638  ; 9.157  ;
2402
; wb_adr_i[1] ; wb_dat_o[4]  ; 9.891  ; 10.172 ; 10.733 ; 10.098 ;
2403
; wb_adr_i[1] ; wb_dat_o[5]  ; 9.832  ; 9.886  ; 10.444 ; 10.032 ;
2404
; wb_adr_i[1] ; wb_dat_o[6]  ; 9.999  ; 10.313 ; 10.833 ; 10.247 ;
2405
; wb_adr_i[1] ; wb_dat_o[7]  ; 10.079 ; 10.399 ; 10.907 ; 10.332 ;
2406
; wb_adr_i[1] ; wb_dat_o[8]  ; 8.940  ; 8.982  ; 9.540  ; 9.139  ;
2407
; wb_adr_i[1] ; wb_dat_o[9]  ; 10.283 ; 10.396 ; 10.888 ; 10.551 ;
2408
; wb_adr_i[1] ; wb_dat_o[10] ; 10.047 ; 10.209 ; 10.748 ; 10.275 ;
2409
; wb_adr_i[1] ; wb_dat_o[11] ; 9.553  ; 9.517  ; 10.032 ; 9.802  ;
2410
; wb_adr_i[1] ; wb_dat_o[12] ; 8.014  ; 8.161  ; 8.708  ; 8.228  ;
2411
; wb_adr_i[1] ; wb_dat_o[13] ; 8.040  ; 8.187  ; 8.733  ; 8.255  ;
2412
; wb_adr_i[1] ; wb_dat_o[14] ; 9.451  ; 9.660  ; 10.156 ; 9.723  ;
2413
; wb_adr_i[1] ; wb_dat_o[15] ; 9.531  ; 9.546  ; 10.010 ; 9.832  ;
2414
; wb_adr_i[1] ; wb_dat_o[16] ; 8.835  ; 9.067  ; 9.535  ; 9.133  ;
2415
; wb_adr_i[1] ; wb_dat_o[17] ; 9.398  ; 9.378  ; 9.882  ; 9.660  ;
2416
; wb_adr_i[1] ; wb_dat_o[18] ; 10.168 ; 10.137 ; 10.625 ; 10.432 ;
2417
; wb_adr_i[1] ; wb_dat_o[19] ; 7.656  ; 7.834  ; 8.338  ; 7.905  ;
2418
; wb_adr_i[1] ; wb_dat_o[20] ; 8.369  ; 8.511  ; 9.063  ; 8.578  ;
2419
; wb_adr_i[1] ; wb_dat_o[21] ; 8.272  ; 8.215  ; 8.746  ; 8.502  ;
2420
; wb_adr_i[1] ; wb_dat_o[22] ; 8.609  ; 8.632  ; 9.089  ; 8.889  ;
2421
; wb_adr_i[1] ; wb_dat_o[23] ; 8.268  ; 8.387  ; 8.948  ; 8.460  ;
2422
; wb_adr_i[1] ; wb_dat_o[24] ; 8.259  ; 8.353  ; 8.900  ; 8.453  ;
2423
; wb_adr_i[1] ; wb_dat_o[25] ; 9.855  ; 10.047 ; 10.536 ; 10.119 ;
2424
; wb_adr_i[1] ; wb_dat_o[26] ; 8.891  ; 9.109  ; 9.606  ; 9.180  ;
2425
; wb_adr_i[1] ; wb_dat_o[27] ; 8.611  ; 8.826  ; 9.314  ; 8.903  ;
2426
; wb_adr_i[1] ; wb_dat_o[28] ; 8.810  ; 8.999  ; 9.522  ; 9.072  ;
2427
; wb_adr_i[1] ; wb_dat_o[29] ; 9.224  ; 9.204  ; 9.725  ; 9.493  ;
2428
; wb_adr_i[1] ; wb_dat_o[30] ; 9.118  ; 9.261  ; 9.710  ; 9.428  ;
2429
; wb_adr_i[1] ; wb_dat_o[31] ; 8.468  ; 8.796  ; 9.290  ; 8.737  ;
2430
; wb_adr_i[2] ; wb_dat_o[0]  ; 10.150 ; 9.613  ; 10.216 ; 10.328 ;
2431
; wb_adr_i[2] ; wb_dat_o[1]  ; 10.469 ; 10.023 ; 10.540 ; 10.705 ;
2432
; wb_adr_i[2] ; wb_dat_o[2]  ; 10.874 ; 10.506 ; 10.930 ; 11.121 ;
2433
; wb_adr_i[2] ; wb_dat_o[3]  ; 10.741 ; 10.259 ; 10.809 ; 10.942 ;
2434
; wb_adr_i[2] ; wb_dat_o[4]  ; 11.836 ; 11.200 ; 11.755 ; 12.036 ;
2435
; wb_adr_i[2] ; wb_dat_o[5]  ; 11.547 ; 11.134 ; 11.696 ; 11.750 ;
2436
; wb_adr_i[2] ; wb_dat_o[6]  ; 11.936 ; 11.349 ; 11.863 ; 12.177 ;
2437
; wb_adr_i[2] ; wb_dat_o[7]  ; 12.010 ; 11.434 ; 11.943 ; 12.263 ;
2438
; wb_adr_i[2] ; wb_dat_o[8]  ; 10.643 ; 10.241 ; 10.804 ; 10.846 ;
2439
; wb_adr_i[2] ; wb_dat_o[9]  ; 11.991 ; 11.653 ; 12.147 ; 12.260 ;
2440
; wb_adr_i[2] ; wb_dat_o[10] ; 11.851 ; 11.377 ; 11.911 ; 12.073 ;
2441
; wb_adr_i[2] ; wb_dat_o[11] ; 11.135 ; 10.904 ; 11.417 ; 11.381 ;
2442
; wb_adr_i[2] ; wb_dat_o[12] ; 9.811  ; 9.330  ; 9.878  ; 10.025 ;
2443
; wb_adr_i[2] ; wb_dat_o[13] ; 9.836  ; 9.357  ; 9.904  ; 10.051 ;
2444
; wb_adr_i[2] ; wb_dat_o[14] ; 11.259 ; 10.825 ; 11.315 ; 11.524 ;
2445
; wb_adr_i[2] ; wb_dat_o[15] ; 11.113 ; 10.934 ; 11.395 ; 11.410 ;
2446
; wb_adr_i[2] ; wb_dat_o[16] ; 10.638 ; 10.235 ; 10.699 ; 10.931 ;
2447
; wb_adr_i[2] ; wb_dat_o[17] ; 10.985 ; 10.762 ; 11.262 ; 11.242 ;
2448
; wb_adr_i[2] ; wb_dat_o[18] ; 11.728 ; 11.534 ; 12.032 ; 12.001 ;
2449
; wb_adr_i[2] ; wb_dat_o[19] ; 9.441  ; 9.007  ; 9.520  ; 9.698  ;
2450
; wb_adr_i[2] ; wb_dat_o[20] ; 10.166 ; 9.680  ; 10.233 ; 10.375 ;
2451
; wb_adr_i[2] ; wb_dat_o[21] ; 9.849  ; 9.604  ; 10.136 ; 10.079 ;
2452
; wb_adr_i[2] ; wb_dat_o[22] ; 10.192 ; 9.991  ; 10.473 ; 10.496 ;
2453
; wb_adr_i[2] ; wb_dat_o[23] ; 10.051 ; 9.562  ; 10.132 ; 10.251 ;
2454
; wb_adr_i[2] ; wb_dat_o[24] ; 10.003 ; 9.555  ; 10.123 ; 10.217 ;
2455
; wb_adr_i[2] ; wb_dat_o[25] ; 11.639 ; 11.221 ; 11.719 ; 11.911 ;
2456
; wb_adr_i[2] ; wb_dat_o[26] ; 10.709 ; 10.282 ; 10.755 ; 10.973 ;
2457
; wb_adr_i[2] ; wb_dat_o[27] ; 10.417 ; 10.005 ; 10.475 ; 10.690 ;
2458
; wb_adr_i[2] ; wb_dat_o[28] ; 10.625 ; 10.174 ; 10.674 ; 10.863 ;
2459
; wb_adr_i[2] ; wb_dat_o[29] ; 10.828 ; 10.595 ; 11.088 ; 11.068 ;
2460
; wb_adr_i[2] ; wb_dat_o[30] ; 10.813 ; 10.530 ; 10.982 ; 11.125 ;
2461
; wb_adr_i[2] ; wb_dat_o[31] ; 10.393 ; 9.839  ; 10.332 ; 10.660 ;
2462
; wb_adr_i[3] ; wb_dat_o[0]  ; 9.988  ; 9.451  ; 10.071 ; 10.183 ;
2463
; wb_adr_i[3] ; wb_dat_o[1]  ; 10.307 ; 9.861  ; 10.395 ; 10.560 ;
2464
; wb_adr_i[3] ; wb_dat_o[2]  ; 10.712 ; 10.344 ; 10.785 ; 10.976 ;
2465
; wb_adr_i[3] ; wb_dat_o[3]  ; 10.579 ; 10.097 ; 10.664 ; 10.797 ;
2466
; wb_adr_i[3] ; wb_dat_o[4]  ; 11.674 ; 11.038 ; 11.610 ; 11.891 ;
2467
; wb_adr_i[3] ; wb_dat_o[5]  ; 11.385 ; 10.972 ; 11.551 ; 11.605 ;
2468
; wb_adr_i[3] ; wb_dat_o[6]  ; 11.774 ; 11.187 ; 11.718 ; 12.032 ;
2469
; wb_adr_i[3] ; wb_dat_o[7]  ; 11.848 ; 11.272 ; 11.798 ; 12.118 ;
2470
; wb_adr_i[3] ; wb_dat_o[8]  ; 10.481 ; 10.079 ; 10.659 ; 10.701 ;
2471
; wb_adr_i[3] ; wb_dat_o[9]  ; 11.829 ; 11.491 ; 12.002 ; 12.115 ;
2472
; wb_adr_i[3] ; wb_dat_o[10] ; 11.689 ; 11.215 ; 11.766 ; 11.928 ;
2473
; wb_adr_i[3] ; wb_dat_o[11] ; 10.973 ; 10.742 ; 11.272 ; 11.236 ;
2474
; wb_adr_i[3] ; wb_dat_o[12] ; 9.649  ; 9.168  ; 9.733  ; 9.880  ;
2475
; wb_adr_i[3] ; wb_dat_o[13] ; 9.674  ; 9.195  ; 9.759  ; 9.906  ;
2476
; wb_adr_i[3] ; wb_dat_o[14] ; 11.097 ; 10.663 ; 11.170 ; 11.379 ;
2477
; wb_adr_i[3] ; wb_dat_o[15] ; 10.951 ; 10.772 ; 11.250 ; 11.265 ;
2478
; wb_adr_i[3] ; wb_dat_o[16] ; 10.476 ; 10.073 ; 10.554 ; 10.786 ;
2479
; wb_adr_i[3] ; wb_dat_o[17] ; 10.823 ; 10.600 ; 11.117 ; 11.097 ;
2480
; wb_adr_i[3] ; wb_dat_o[18] ; 11.566 ; 11.372 ; 11.887 ; 11.856 ;
2481
; wb_adr_i[3] ; wb_dat_o[19] ; 9.279  ; 8.845  ; 9.375  ; 9.553  ;
2482
; wb_adr_i[3] ; wb_dat_o[20] ; 10.004 ; 9.518  ; 10.088 ; 10.230 ;
2483
; wb_adr_i[3] ; wb_dat_o[21] ; 9.687  ; 9.442  ; 9.991  ; 9.934  ;
2484
; wb_adr_i[3] ; wb_dat_o[22] ; 10.030 ; 9.829  ; 10.328 ; 10.351 ;
2485
; wb_adr_i[3] ; wb_dat_o[23] ; 9.889  ; 9.400  ; 9.987  ; 10.106 ;
2486
; wb_adr_i[3] ; wb_dat_o[24] ; 9.841  ; 9.393  ; 9.978  ; 10.072 ;
2487
; wb_adr_i[3] ; wb_dat_o[25] ; 11.477 ; 11.059 ; 11.574 ; 11.766 ;
2488
; wb_adr_i[3] ; wb_dat_o[26] ; 10.547 ; 10.120 ; 10.610 ; 10.828 ;
2489
; wb_adr_i[3] ; wb_dat_o[27] ; 10.255 ; 9.843  ; 10.330 ; 10.545 ;
2490
; wb_adr_i[3] ; wb_dat_o[28] ; 10.463 ; 10.012 ; 10.529 ; 10.718 ;
2491
; wb_adr_i[3] ; wb_dat_o[29] ; 10.666 ; 10.433 ; 10.943 ; 10.923 ;
2492
; wb_adr_i[3] ; wb_dat_o[30] ; 10.651 ; 10.368 ; 10.837 ; 10.980 ;
2493
; wb_adr_i[3] ; wb_dat_o[31] ; 10.231 ; 9.677  ; 10.187 ; 10.515 ;
2494
; wb_adr_i[4] ; wb_dat_o[0]  ; 10.110 ; 9.573  ; 10.206 ; 10.318 ;
2495
; wb_adr_i[4] ; wb_dat_o[1]  ; 10.429 ; 9.983  ; 10.530 ; 10.695 ;
2496
; wb_adr_i[4] ; wb_dat_o[2]  ; 10.834 ; 10.466 ; 10.920 ; 11.111 ;
2497
; wb_adr_i[4] ; wb_dat_o[3]  ; 10.701 ; 10.219 ; 10.799 ; 10.932 ;
2498
; wb_adr_i[4] ; wb_dat_o[4]  ; 11.796 ; 11.160 ; 11.745 ; 12.026 ;
2499
; wb_adr_i[4] ; wb_dat_o[5]  ; 11.507 ; 11.094 ; 11.686 ; 11.740 ;
2500
; wb_adr_i[4] ; wb_dat_o[6]  ; 11.896 ; 11.309 ; 11.853 ; 12.167 ;
2501
; wb_adr_i[4] ; wb_dat_o[7]  ; 11.970 ; 11.394 ; 11.933 ; 12.253 ;
2502
; wb_adr_i[4] ; wb_dat_o[8]  ; 10.603 ; 10.201 ; 10.794 ; 10.836 ;
2503
; wb_adr_i[4] ; wb_dat_o[9]  ; 11.951 ; 11.613 ; 12.137 ; 12.250 ;
2504
; wb_adr_i[4] ; wb_dat_o[10] ; 11.811 ; 11.337 ; 11.901 ; 12.063 ;
2505
; wb_adr_i[4] ; wb_dat_o[11] ; 11.095 ; 10.864 ; 11.407 ; 11.371 ;
2506
; wb_adr_i[4] ; wb_dat_o[12] ; 9.771  ; 9.290  ; 9.868  ; 10.015 ;
2507
; wb_adr_i[4] ; wb_dat_o[13] ; 9.796  ; 9.317  ; 9.894  ; 10.041 ;
2508
; wb_adr_i[4] ; wb_dat_o[14] ; 11.219 ; 10.785 ; 11.305 ; 11.514 ;
2509
; wb_adr_i[4] ; wb_dat_o[15] ; 11.073 ; 10.894 ; 11.385 ; 11.400 ;
2510
; wb_adr_i[4] ; wb_dat_o[16] ; 10.598 ; 10.195 ; 10.689 ; 10.921 ;
2511
; wb_adr_i[4] ; wb_dat_o[17] ; 10.945 ; 10.722 ; 11.252 ; 11.232 ;
2512
; wb_adr_i[4] ; wb_dat_o[18] ; 11.688 ; 11.494 ; 12.022 ; 11.991 ;
2513
; wb_adr_i[4] ; wb_dat_o[19] ; 9.401  ; 8.967  ; 9.510  ; 9.688  ;
2514
; wb_adr_i[4] ; wb_dat_o[20] ; 10.126 ; 9.640  ; 10.223 ; 10.365 ;
2515
; wb_adr_i[4] ; wb_dat_o[21] ; 9.809  ; 9.564  ; 10.126 ; 10.069 ;
2516
; wb_adr_i[4] ; wb_dat_o[22] ; 10.152 ; 9.951  ; 10.463 ; 10.486 ;
2517
; wb_adr_i[4] ; wb_dat_o[23] ; 10.011 ; 9.522  ; 10.122 ; 10.241 ;
2518
; wb_adr_i[4] ; wb_dat_o[24] ; 9.963  ; 9.515  ; 10.113 ; 10.207 ;
2519
; wb_adr_i[4] ; wb_dat_o[25] ; 11.599 ; 11.181 ; 11.709 ; 11.901 ;
2520
; wb_adr_i[4] ; wb_dat_o[26] ; 10.669 ; 10.242 ; 10.745 ; 10.963 ;
2521
; wb_adr_i[4] ; wb_dat_o[27] ; 10.377 ; 9.965  ; 10.465 ; 10.680 ;
2522
; wb_adr_i[4] ; wb_dat_o[28] ; 10.585 ; 10.134 ; 10.664 ; 10.853 ;
2523
; wb_adr_i[4] ; wb_dat_o[29] ; 10.788 ; 10.555 ; 11.078 ; 11.058 ;
2524
; wb_adr_i[4] ; wb_dat_o[30] ; 10.773 ; 10.490 ; 10.972 ; 11.115 ;
2525
; wb_adr_i[4] ; wb_dat_o[31] ; 10.353 ; 9.799  ; 10.322 ; 10.650 ;
2526
; wb_adr_i[5] ; wb_dat_o[0]  ; 10.400 ; 9.863  ; 10.466 ; 10.578 ;
2527
; wb_adr_i[5] ; wb_dat_o[1]  ; 10.719 ; 10.273 ; 10.790 ; 10.955 ;
2528
; wb_adr_i[5] ; wb_dat_o[2]  ; 11.124 ; 10.756 ; 11.180 ; 11.371 ;
2529
; wb_adr_i[5] ; wb_dat_o[3]  ; 10.991 ; 10.509 ; 11.059 ; 11.192 ;
2530
; wb_adr_i[5] ; wb_dat_o[4]  ; 12.086 ; 11.450 ; 12.005 ; 12.286 ;
2531
; wb_adr_i[5] ; wb_dat_o[5]  ; 11.797 ; 11.384 ; 11.946 ; 12.000 ;
2532
; wb_adr_i[5] ; wb_dat_o[6]  ; 12.186 ; 11.599 ; 12.113 ; 12.427 ;
2533
; wb_adr_i[5] ; wb_dat_o[7]  ; 12.260 ; 11.684 ; 12.193 ; 12.513 ;
2534
; wb_adr_i[5] ; wb_dat_o[8]  ; 10.893 ; 10.491 ; 11.054 ; 11.096 ;
2535
; wb_adr_i[5] ; wb_dat_o[9]  ; 12.241 ; 11.903 ; 12.397 ; 12.510 ;
2536
; wb_adr_i[5] ; wb_dat_o[10] ; 12.101 ; 11.627 ; 12.161 ; 12.323 ;
2537
; wb_adr_i[5] ; wb_dat_o[11] ; 11.385 ; 11.154 ; 11.667 ; 11.631 ;
2538
; wb_adr_i[5] ; wb_dat_o[12] ; 10.061 ; 9.580  ; 10.128 ; 10.275 ;
2539
; wb_adr_i[5] ; wb_dat_o[13] ; 10.086 ; 9.607  ; 10.154 ; 10.301 ;
2540
; wb_adr_i[5] ; wb_dat_o[14] ; 11.509 ; 11.075 ; 11.565 ; 11.774 ;
2541
; wb_adr_i[5] ; wb_dat_o[15] ; 11.363 ; 11.184 ; 11.645 ; 11.660 ;
2542
; wb_adr_i[5] ; wb_dat_o[16] ; 10.888 ; 10.485 ; 10.949 ; 11.181 ;
2543
; wb_adr_i[5] ; wb_dat_o[17] ; 11.235 ; 11.012 ; 11.512 ; 11.492 ;
2544
; wb_adr_i[5] ; wb_dat_o[18] ; 11.978 ; 11.784 ; 12.282 ; 12.251 ;
2545
; wb_adr_i[5] ; wb_dat_o[19] ; 9.691  ; 9.257  ; 9.770  ; 9.948  ;
2546
; wb_adr_i[5] ; wb_dat_o[20] ; 10.416 ; 9.930  ; 10.483 ; 10.625 ;
2547
; wb_adr_i[5] ; wb_dat_o[21] ; 10.099 ; 9.854  ; 10.386 ; 10.329 ;
2548
; wb_adr_i[5] ; wb_dat_o[22] ; 10.442 ; 10.241 ; 10.723 ; 10.746 ;
2549
; wb_adr_i[5] ; wb_dat_o[23] ; 10.301 ; 9.812  ; 10.382 ; 10.501 ;
2550
; wb_adr_i[5] ; wb_dat_o[24] ; 10.253 ; 9.805  ; 10.373 ; 10.467 ;
2551
; wb_adr_i[5] ; wb_dat_o[25] ; 11.889 ; 11.471 ; 11.969 ; 12.161 ;
2552
; wb_adr_i[5] ; wb_dat_o[26] ; 10.959 ; 10.532 ; 11.005 ; 11.223 ;
2553
; wb_adr_i[5] ; wb_dat_o[27] ; 10.667 ; 10.255 ; 10.725 ; 10.940 ;
2554
; wb_adr_i[5] ; wb_dat_o[28] ; 10.875 ; 10.424 ; 10.924 ; 11.113 ;
2555
; wb_adr_i[5] ; wb_dat_o[29] ; 11.078 ; 10.845 ; 11.338 ; 11.318 ;
2556
; wb_adr_i[5] ; wb_dat_o[30] ; 11.063 ; 10.780 ; 11.232 ; 11.375 ;
2557
; wb_adr_i[5] ; wb_dat_o[31] ; 10.643 ; 10.089 ; 10.582 ; 10.910 ;
2558
; wb_cyc_i    ; wb_dat_o[0]  ; 7.215  ; 7.055  ; 7.545  ; 7.385  ;
2559
; wb_cyc_i    ; wb_dat_o[1]  ; 6.976  ; 6.816  ; 7.316  ; 7.156  ;
2560
; wb_cyc_i    ; wb_dat_o[2]  ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
2561
; wb_cyc_i    ; wb_dat_o[3]  ; 7.402  ; 7.242  ; 7.716  ; 7.556  ;
2562
; wb_cyc_i    ; wb_dat_o[4]  ; 8.831  ; 8.671  ; 9.220  ; 9.060  ;
2563
; wb_cyc_i    ; wb_dat_o[5]  ; 8.740  ; 8.580  ; 9.105  ; 8.945  ;
2564
; wb_cyc_i    ; wb_dat_o[6]  ; 8.831  ; 8.671  ; 9.220  ; 9.060  ;
2565
; wb_cyc_i    ; wb_dat_o[7]  ; 9.241  ; 9.105  ; 9.643  ; 9.507  ;
2566
; wb_cyc_i    ; wb_dat_o[8]  ; 7.611  ; 7.451  ; 7.925  ; 7.765  ;
2567
; wb_cyc_i    ; wb_dat_o[9]  ; 8.846  ; 8.686  ; 9.164  ; 9.004  ;
2568
; wb_cyc_i    ; wb_dat_o[10] ; 8.753  ; 8.617  ; 9.164  ; 9.028  ;
2569
; wb_cyc_i    ; wb_dat_o[11] ; 8.685  ; 8.525  ; 9.011  ; 8.851  ;
2570
; wb_cyc_i    ; wb_dat_o[12] ; 7.616  ; 7.456  ; 7.930  ; 7.770  ;
2571
; wb_cyc_i    ; wb_dat_o[13] ; 7.611  ; 7.451  ; 7.925  ; 7.765  ;
2572
; wb_cyc_i    ; wb_dat_o[14] ; 8.846  ; 8.686  ; 9.164  ; 9.004  ;
2573
; wb_cyc_i    ; wb_dat_o[15] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
2574
; wb_cyc_i    ; wb_dat_o[16] ; 8.522  ; 8.412  ; 8.853  ; 8.743  ;
2575
; wb_cyc_i    ; wb_dat_o[17] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
2576
; wb_cyc_i    ; wb_dat_o[18] ; 8.850  ; 8.690  ; 9.167  ; 9.007  ;
2577
; wb_cyc_i    ; wb_dat_o[19] ; 7.215  ; 7.055  ; 7.545  ; 7.385  ;
2578
; wb_cyc_i    ; wb_dat_o[20] ; 7.820  ; 7.660  ; 8.134  ; 7.974  ;
2579
; wb_cyc_i    ; wb_dat_o[21] ; 7.534  ; 7.424  ; 7.847  ; 7.737  ;
2580
; wb_cyc_i    ; wb_dat_o[22] ; 8.275  ; 8.189  ; 8.588  ; 8.502  ;
2581
; wb_cyc_i    ; wb_dat_o[23] ; 7.616  ; 7.456  ; 7.930  ; 7.770  ;
2582
; wb_cyc_i    ; wb_dat_o[24] ; 7.820  ; 7.660  ; 8.134  ; 7.974  ;
2583
; wb_cyc_i    ; wb_dat_o[25] ; 8.850  ; 8.690  ; 9.167  ; 9.007  ;
2584
; wb_cyc_i    ; wb_dat_o[26] ; 8.998  ; 8.862  ; 9.411  ; 9.275  ;
2585
; wb_cyc_i    ; wb_dat_o[27] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
2586
; wb_cyc_i    ; wb_dat_o[28] ; 9.241  ; 9.105  ; 9.643  ; 9.507  ;
2587
; wb_cyc_i    ; wb_dat_o[29] ; 8.998  ; 8.862  ; 9.411  ; 9.275  ;
2588
; wb_cyc_i    ; wb_dat_o[30] ; 8.999  ; 8.863  ; 9.402  ; 9.266  ;
2589
; wb_cyc_i    ; wb_dat_o[31] ; 7.856  ; 7.696  ; 8.170  ; 8.010  ;
2590
; wb_stb_i    ; wb_dat_o[0]  ; 7.446  ; 7.286  ; 7.796  ; 7.636  ;
2591
; wb_stb_i    ; wb_dat_o[1]  ; 7.207  ; 7.047  ; 7.567  ; 7.407  ;
2592
; wb_stb_i    ; wb_dat_o[2]  ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
2593
; wb_stb_i    ; wb_dat_o[3]  ; 7.633  ; 7.473  ; 7.967  ; 7.807  ;
2594
; wb_stb_i    ; wb_dat_o[4]  ; 9.062  ; 8.902  ; 9.471  ; 9.311  ;
2595
; wb_stb_i    ; wb_dat_o[5]  ; 8.971  ; 8.811  ; 9.356  ; 9.196  ;
2596
; wb_stb_i    ; wb_dat_o[6]  ; 9.062  ; 8.902  ; 9.471  ; 9.311  ;
2597
; wb_stb_i    ; wb_dat_o[7]  ; 9.472  ; 9.336  ; 9.894  ; 9.758  ;
2598
; wb_stb_i    ; wb_dat_o[8]  ; 7.842  ; 7.682  ; 8.176  ; 8.016  ;
2599
; wb_stb_i    ; wb_dat_o[9]  ; 9.077  ; 8.917  ; 9.415  ; 9.255  ;
2600
; wb_stb_i    ; wb_dat_o[10] ; 8.984  ; 8.848  ; 9.415  ; 9.279  ;
2601
; wb_stb_i    ; wb_dat_o[11] ; 8.916  ; 8.756  ; 9.262  ; 9.102  ;
2602
; wb_stb_i    ; wb_dat_o[12] ; 7.847  ; 7.687  ; 8.181  ; 8.021  ;
2603
; wb_stb_i    ; wb_dat_o[13] ; 7.842  ; 7.682  ; 8.176  ; 8.016  ;
2604
; wb_stb_i    ; wb_dat_o[14] ; 9.077  ; 8.917  ; 9.415  ; 9.255  ;
2605
; wb_stb_i    ; wb_dat_o[15] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
2606
; wb_stb_i    ; wb_dat_o[16] ; 8.753  ; 8.643  ; 9.104  ; 8.994  ;
2607
; wb_stb_i    ; wb_dat_o[17] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
2608
; wb_stb_i    ; wb_dat_o[18] ; 9.081  ; 8.921  ; 9.418  ; 9.258  ;
2609
; wb_stb_i    ; wb_dat_o[19] ; 7.446  ; 7.286  ; 7.796  ; 7.636  ;
2610
; wb_stb_i    ; wb_dat_o[20] ; 8.051  ; 7.891  ; 8.385  ; 8.225  ;
2611
; wb_stb_i    ; wb_dat_o[21] ; 7.765  ; 7.655  ; 8.098  ; 7.988  ;
2612
; wb_stb_i    ; wb_dat_o[22] ; 8.506  ; 8.420  ; 8.839  ; 8.753  ;
2613
; wb_stb_i    ; wb_dat_o[23] ; 7.847  ; 7.687  ; 8.181  ; 8.021  ;
2614
; wb_stb_i    ; wb_dat_o[24] ; 8.051  ; 7.891  ; 8.385  ; 8.225  ;
2615
; wb_stb_i    ; wb_dat_o[25] ; 9.081  ; 8.921  ; 9.418  ; 9.258  ;
2616
; wb_stb_i    ; wb_dat_o[26] ; 9.229  ; 9.093  ; 9.662  ; 9.526  ;
2617
; wb_stb_i    ; wb_dat_o[27] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
2618
; wb_stb_i    ; wb_dat_o[28] ; 9.472  ; 9.336  ; 9.894  ; 9.758  ;
2619
; wb_stb_i    ; wb_dat_o[29] ; 9.229  ; 9.093  ; 9.662  ; 9.526  ;
2620
; wb_stb_i    ; wb_dat_o[30] ; 9.230  ; 9.094  ; 9.653  ; 9.517  ;
2621
; wb_stb_i    ; wb_dat_o[31] ; 8.087  ; 7.927  ; 8.421  ; 8.261  ;
2622
; wb_we_i     ; wb_dat_o[0]  ; 6.993  ; 6.833  ; 7.388  ; 7.228  ;
2623
; wb_we_i     ; wb_dat_o[1]  ; 6.764  ; 6.604  ; 7.149  ; 6.989  ;
2624
; wb_we_i     ; wb_dat_o[2]  ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
2625
; wb_we_i     ; wb_dat_o[3]  ; 7.164  ; 7.004  ; 7.575  ; 7.415  ;
2626
; wb_we_i     ; wb_dat_o[4]  ; 8.668  ; 8.508  ; 9.004  ; 8.844  ;
2627
; wb_we_i     ; wb_dat_o[5]  ; 8.553  ; 8.393  ; 8.913  ; 8.753  ;
2628
; wb_we_i     ; wb_dat_o[6]  ; 8.668  ; 8.508  ; 9.004  ; 8.844  ;
2629
; wb_we_i     ; wb_dat_o[7]  ; 9.091  ; 8.955  ; 9.414  ; 9.278  ;
2630
; wb_we_i     ; wb_dat_o[8]  ; 7.373  ; 7.213  ; 7.784  ; 7.624  ;
2631
; wb_we_i     ; wb_dat_o[9]  ; 8.612  ; 8.452  ; 9.019  ; 8.859  ;
2632
; wb_we_i     ; wb_dat_o[10] ; 8.612  ; 8.476  ; 8.926  ; 8.790  ;
2633
; wb_we_i     ; wb_dat_o[11] ; 8.459  ; 8.299  ; 8.858  ; 8.698  ;
2634
; wb_we_i     ; wb_dat_o[12] ; 7.378  ; 7.218  ; 7.789  ; 7.629  ;
2635
; wb_we_i     ; wb_dat_o[13] ; 7.373  ; 7.213  ; 7.784  ; 7.624  ;
2636
; wb_we_i     ; wb_dat_o[14] ; 8.612  ; 8.452  ; 9.019  ; 8.859  ;
2637
; wb_we_i     ; wb_dat_o[15] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
2638
; wb_we_i     ; wb_dat_o[16] ; 8.301  ; 8.191  ; 8.695  ; 8.585  ;
2639
; wb_we_i     ; wb_dat_o[17] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
2640
; wb_we_i     ; wb_dat_o[18] ; 8.615  ; 8.455  ; 9.023  ; 8.863  ;
2641
; wb_we_i     ; wb_dat_o[19] ; 6.993  ; 6.833  ; 7.388  ; 7.228  ;
2642
; wb_we_i     ; wb_dat_o[20] ; 7.582  ; 7.422  ; 7.993  ; 7.833  ;
2643
; wb_we_i     ; wb_dat_o[21] ; 7.295  ; 7.185  ; 7.707  ; 7.597  ;
2644
; wb_we_i     ; wb_dat_o[22] ; 8.036  ; 7.950  ; 8.448  ; 8.362  ;
2645
; wb_we_i     ; wb_dat_o[23] ; 7.378  ; 7.218  ; 7.789  ; 7.629  ;
2646
; wb_we_i     ; wb_dat_o[24] ; 7.582  ; 7.422  ; 7.993  ; 7.833  ;
2647
; wb_we_i     ; wb_dat_o[25] ; 8.615  ; 8.455  ; 9.023  ; 8.863  ;
2648
; wb_we_i     ; wb_dat_o[26] ; 8.859  ; 8.723  ; 9.171  ; 9.035  ;
2649
; wb_we_i     ; wb_dat_o[27] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
2650
; wb_we_i     ; wb_dat_o[28] ; 9.091  ; 8.955  ; 9.414  ; 9.278  ;
2651
; wb_we_i     ; wb_dat_o[29] ; 8.859  ; 8.723  ; 9.171  ; 9.035  ;
2652
; wb_we_i     ; wb_dat_o[30] ; 8.850  ; 8.714  ; 9.172  ; 9.036  ;
2653
; wb_we_i     ; wb_dat_o[31] ; 7.618  ; 7.458  ; 8.029  ; 7.869  ;
2654
+-------------+--------------+--------+--------+--------+--------+
2655
 
2656
 
2657
+----------------------------------------------------------------+
2658
; Minimum Propagation Delay                                      ;
2659
+-------------+--------------+--------+--------+--------+--------+
2660
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
2661
+-------------+--------------+--------+--------+--------+--------+
2662
; wb_adr_i[0] ; wb_dat_o[0]  ; 7.671  ; 7.775  ; 8.336  ; 7.849  ;
2663
; wb_adr_i[0] ; wb_dat_o[1]  ; 7.441  ; 8.094  ; 8.603  ; 7.621  ;
2664
; wb_adr_i[0] ; wb_dat_o[2]  ; 8.663  ; 8.562  ; 9.021  ; 8.905  ;
2665
; wb_adr_i[0] ; wb_dat_o[3]  ; 8.058  ; 8.319  ; 8.861  ; 8.219  ;
2666
; wb_adr_i[0] ; wb_dat_o[4]  ; 8.564  ; 9.299  ; 9.817  ; 8.732  ;
2667
; wb_adr_i[0] ; wb_dat_o[5]  ; 7.842  ; 9.158  ; 9.713  ; 7.992  ;
2668
; wb_adr_i[0] ; wb_dat_o[6]  ; 8.379  ; 9.366  ; 9.875  ; 8.552  ;
2669
; wb_adr_i[0] ; wb_dat_o[7]  ; 9.116  ; 9.449  ; 9.937  ; 9.297  ;
2670
; wb_adr_i[0] ; wb_dat_o[8]  ; 8.338  ; 8.376  ; 8.899  ; 8.510  ;
2671
; wb_adr_i[0] ; wb_dat_o[9]  ; 9.891  ; 9.731  ; 10.190 ; 10.108 ;
2672
; wb_adr_i[0] ; wb_dat_o[10] ; 9.624  ; 9.469  ; 9.964  ; 9.815  ;
2673
; wb_adr_i[0] ; wb_dat_o[11] ; 9.179  ; 9.012  ; 9.490  ; 9.409  ;
2674
; wb_adr_i[0] ; wb_dat_o[12] ; 7.674  ; 7.503  ; 8.011  ; 7.846  ;
2675
; wb_adr_i[0] ; wb_dat_o[13] ; 7.697  ; 7.528  ; 8.037  ; 7.874  ;
2676
; wb_adr_i[0] ; wb_dat_o[14] ; 9.051  ; 8.937  ; 9.391  ; 9.283  ;
2677
; wb_adr_i[0] ; wb_dat_o[15] ; 9.158  ; 9.041  ; 9.469  ; 9.438  ;
2678
; wb_adr_i[0] ; wb_dat_o[16] ; 8.455  ; 8.368  ; 8.795  ; 8.714  ;
2679
; wb_adr_i[0] ; wb_dat_o[17] ; 9.031  ; 8.876  ; 9.341  ; 9.272  ;
2680
; wb_adr_i[0] ; wb_dat_o[18] ; 9.705  ; 9.618  ; 10.066 ; 9.947  ;
2681
; wb_adr_i[0] ; wb_dat_o[19] ; 7.332  ; 7.193  ; 7.669  ; 7.536  ;
2682
; wb_adr_i[0] ; wb_dat_o[20] ; 8.015  ; 7.839  ; 8.352  ; 8.182  ;
2683
; wb_adr_i[0] ; wb_dat_o[21] ; 7.947  ; 7.761  ; 8.255  ; 8.155  ;
2684
; wb_adr_i[0] ; wb_dat_o[22] ; 8.258  ; 8.163  ; 8.608  ; 8.519  ;
2685
; wb_adr_i[0] ; wb_dat_o[23] ; 7.919  ; 7.725  ; 8.257  ; 8.069  ;
2686
; wb_adr_i[0] ; wb_dat_o[24] ; 7.897  ; 7.718  ; 8.247  ; 8.074  ;
2687
; wb_adr_i[0] ; wb_dat_o[25] ; 9.443  ; 9.318  ; 9.780  ; 9.661  ;
2688
; wb_adr_i[0] ; wb_dat_o[26] ; 8.518  ; 8.418  ; 8.855  ; 8.761  ;
2689
; wb_adr_i[0] ; wb_dat_o[27] ; 8.247  ; 8.149  ; 8.585  ; 8.493  ;
2690
; wb_adr_i[0] ; wb_dat_o[28] ; 8.440  ; 8.314  ; 8.778  ; 8.658  ;
2691
; wb_adr_i[0] ; wb_dat_o[29] ; 8.872  ; 8.718  ; 9.175  ; 9.107  ;
2692
; wb_adr_i[0] ; wb_dat_o[30] ; 8.709  ; 8.655  ; 9.074  ; 8.974  ;
2693
; wb_adr_i[0] ; wb_dat_o[31] ; 8.119  ; 7.991  ; 8.448  ; 8.326  ;
2694
; wb_adr_i[1] ; wb_dat_o[0]  ; 7.739  ; 7.853  ; 8.433  ; 7.984  ;
2695
; wb_adr_i[1] ; wb_dat_o[1]  ; 7.509  ; 8.163  ; 8.709  ; 7.756  ;
2696
; wb_adr_i[1] ; wb_dat_o[2]  ; 8.731  ; 8.631  ; 9.127  ; 9.005  ;
2697
; wb_adr_i[1] ; wb_dat_o[3]  ; 8.126  ; 8.388  ; 8.967  ; 8.354  ;
2698
; wb_adr_i[1] ; wb_dat_o[4]  ; 8.632  ; 9.361  ; 9.930  ; 8.867  ;
2699
; wb_adr_i[1] ; wb_dat_o[5]  ; 7.910  ; 9.262  ; 9.856  ; 8.127  ;
2700
; wb_adr_i[1] ; wb_dat_o[6]  ; 8.447  ; 9.426  ; 9.990  ; 8.687  ;
2701
; wb_adr_i[1] ; wb_dat_o[7]  ; 9.184  ; 9.511  ; 10.050 ; 9.432  ;
2702
; wb_adr_i[1] ; wb_dat_o[8]  ; 8.406  ; 8.422  ; 8.976  ; 8.645  ;
2703
; wb_adr_i[1] ; wb_dat_o[9]  ; 9.916  ; 9.834  ; 10.334 ; 10.174 ;
2704
; wb_adr_i[1] ; wb_dat_o[10] ; 9.690  ; 9.541  ; 10.067 ; 9.912  ;
2705
; wb_adr_i[1] ; wb_dat_o[11] ; 9.216  ; 9.135  ; 9.622  ; 9.455  ;
2706
; wb_adr_i[1] ; wb_dat_o[12] ; 7.737  ; 7.572  ; 8.117  ; 7.946  ;
2707
; wb_adr_i[1] ; wb_dat_o[13] ; 7.763  ; 7.600  ; 8.140  ; 7.971  ;
2708
; wb_adr_i[1] ; wb_dat_o[14] ; 9.117  ; 9.009  ; 9.494  ; 9.380  ;
2709
; wb_adr_i[1] ; wb_dat_o[15] ; 9.195  ; 9.164  ; 9.601  ; 9.484  ;
2710
; wb_adr_i[1] ; wb_dat_o[16] ; 8.521  ; 8.440  ; 8.898  ; 8.811  ;
2711
; wb_adr_i[1] ; wb_dat_o[17] ; 9.067  ; 8.998  ; 9.474  ; 9.319  ;
2712
; wb_adr_i[1] ; wb_dat_o[18] ; 9.806  ; 9.673  ; 10.148 ; 10.061 ;
2713
; wb_adr_i[1] ; wb_dat_o[19] ; 7.395  ; 7.262  ; 7.775  ; 7.636  ;
2714
; wb_adr_i[1] ; wb_dat_o[20] ; 8.078  ; 7.908  ; 8.458  ; 8.282  ;
2715
; wb_adr_i[1] ; wb_dat_o[21] ; 7.981  ; 7.881  ; 8.390  ; 8.204  ;
2716
; wb_adr_i[1] ; wb_dat_o[22] ; 8.334  ; 8.245  ; 8.701  ; 8.606  ;
2717
; wb_adr_i[1] ; wb_dat_o[23] ; 7.983  ; 7.795  ; 8.362  ; 8.168  ;
2718
; wb_adr_i[1] ; wb_dat_o[24] ; 7.973  ; 7.800  ; 8.340  ; 8.161  ;
2719
; wb_adr_i[1] ; wb_dat_o[25] ; 9.506  ; 9.387  ; 9.886  ; 9.761  ;
2720
; wb_adr_i[1] ; wb_dat_o[26] ; 8.581  ; 8.487  ; 8.961  ; 8.861  ;
2721
; wb_adr_i[1] ; wb_dat_o[27] ; 8.311  ; 8.219  ; 8.690  ; 8.592  ;
2722
; wb_adr_i[1] ; wb_dat_o[28] ; 8.504  ; 8.384  ; 8.883  ; 8.757  ;
2723
; wb_adr_i[1] ; wb_dat_o[29] ; 8.901  ; 8.833  ; 9.315  ; 9.161  ;
2724
; wb_adr_i[1] ; wb_dat_o[30] ; 8.800  ; 8.700  ; 9.152  ; 9.098  ;
2725
; wb_adr_i[1] ; wb_dat_o[31] ; 8.174  ; 8.052  ; 8.562  ; 8.434  ;
2726
; wb_adr_i[2] ; wb_dat_o[0]  ; 9.488  ; 9.039  ; 9.535  ; 9.648  ;
2727
; wb_adr_i[2] ; wb_dat_o[1]  ; 9.764  ; 8.811  ; 9.305  ; 9.958  ;
2728
; wb_adr_i[2] ; wb_dat_o[2]  ; 10.182 ; 10.060 ; 10.527 ; 10.426 ;
2729
; wb_adr_i[2] ; wb_dat_o[3]  ; 10.022 ; 9.409  ; 9.922  ; 10.183 ;
2730
; wb_adr_i[2] ; wb_dat_o[4]  ; 10.985 ; 9.922  ; 10.428 ; 11.156 ;
2731
; wb_adr_i[2] ; wb_dat_o[5]  ; 10.911 ; 9.182  ; 9.706  ; 11.057 ;
2732
; wb_adr_i[2] ; wb_dat_o[6]  ; 11.045 ; 9.742  ; 10.243 ; 11.221 ;
2733
; wb_adr_i[2] ; wb_dat_o[7]  ; 11.105 ; 10.487 ; 10.980 ; 11.306 ;
2734
; wb_adr_i[2] ; wb_dat_o[8]  ; 10.031 ; 9.700  ; 10.202 ; 10.217 ;
2735
; wb_adr_i[2] ; wb_dat_o[9]  ; 11.389 ; 11.229 ; 11.711 ; 11.629 ;
2736
; wb_adr_i[2] ; wb_dat_o[10] ; 11.122 ; 10.967 ; 11.485 ; 11.336 ;
2737
; wb_adr_i[2] ; wb_dat_o[11] ; 10.677 ; 10.510 ; 11.011 ; 10.930 ;
2738
; wb_adr_i[2] ; wb_dat_o[12] ; 9.172  ; 9.001  ; 9.532  ; 9.367  ;
2739
; wb_adr_i[2] ; wb_dat_o[13] ; 9.195  ; 9.026  ; 9.558  ; 9.395  ;
2740
; wb_adr_i[2] ; wb_dat_o[14] ; 10.549 ; 10.435 ; 10.912 ; 10.804 ;
2741
; wb_adr_i[2] ; wb_dat_o[15] ; 10.656 ; 10.539 ; 10.990 ; 10.959 ;
2742
; wb_adr_i[2] ; wb_dat_o[16] ; 9.953  ; 9.866  ; 10.316 ; 10.235 ;
2743
; wb_adr_i[2] ; wb_dat_o[17] ; 10.529 ; 10.374 ; 10.862 ; 10.793 ;
2744
; wb_adr_i[2] ; wb_dat_o[18] ; 11.203 ; 11.116 ; 11.601 ; 11.468 ;
2745
; wb_adr_i[2] ; wb_dat_o[19] ; 8.830  ; 8.691  ; 9.190  ; 9.057  ;
2746
; wb_adr_i[2] ; wb_dat_o[20] ; 9.513  ; 9.337  ; 9.873  ; 9.703  ;
2747
; wb_adr_i[2] ; wb_dat_o[21] ; 9.445  ; 9.259  ; 9.776  ; 9.676  ;
2748
; wb_adr_i[2] ; wb_dat_o[22] ; 9.756  ; 9.661  ; 10.129 ; 10.040 ;
2749
; wb_adr_i[2] ; wb_dat_o[23] ; 9.417  ; 9.223  ; 9.778  ; 9.590  ;
2750
; wb_adr_i[2] ; wb_dat_o[24] ; 9.395  ; 9.216  ; 9.768  ; 9.595  ;
2751
; wb_adr_i[2] ; wb_dat_o[25] ; 10.941 ; 10.816 ; 11.301 ; 11.182 ;
2752
; wb_adr_i[2] ; wb_dat_o[26] ; 10.016 ; 9.916  ; 10.376 ; 10.282 ;
2753
; wb_adr_i[2] ; wb_dat_o[27] ; 9.745  ; 9.647  ; 10.106 ; 10.014 ;
2754
; wb_adr_i[2] ; wb_dat_o[28] ; 9.938  ; 9.812  ; 10.299 ; 10.179 ;
2755
; wb_adr_i[2] ; wb_dat_o[29] ; 10.370 ; 10.216 ; 10.696 ; 10.628 ;
2756
; wb_adr_i[2] ; wb_dat_o[30] ; 10.207 ; 10.153 ; 10.595 ; 10.495 ;
2757
; wb_adr_i[2] ; wb_dat_o[31] ; 9.617  ; 9.489  ; 9.969  ; 9.847  ;
2758
; wb_adr_i[3] ; wb_dat_o[0]  ; 9.331  ; 8.882  ; 9.396  ; 9.509  ;
2759
; wb_adr_i[3] ; wb_dat_o[1]  ; 9.607  ; 8.654  ; 9.166  ; 9.819  ;
2760
; wb_adr_i[3] ; wb_dat_o[2]  ; 10.025 ; 9.903  ; 10.388 ; 10.287 ;
2761
; wb_adr_i[3] ; wb_dat_o[3]  ; 9.865  ; 9.252  ; 9.783  ; 10.044 ;
2762
; wb_adr_i[3] ; wb_dat_o[4]  ; 10.828 ; 9.765  ; 10.289 ; 11.017 ;
2763
; wb_adr_i[3] ; wb_dat_o[5]  ; 10.754 ; 9.025  ; 9.567  ; 10.918 ;
2764
; wb_adr_i[3] ; wb_dat_o[6]  ; 10.888 ; 9.585  ; 10.104 ; 11.082 ;
2765
; wb_adr_i[3] ; wb_dat_o[7]  ; 10.948 ; 10.330 ; 10.841 ; 11.167 ;
2766
; wb_adr_i[3] ; wb_dat_o[8]  ; 9.874  ; 9.543  ; 10.063 ; 10.078 ;
2767
; wb_adr_i[3] ; wb_dat_o[9]  ; 11.232 ; 11.072 ; 11.572 ; 11.490 ;
2768
; wb_adr_i[3] ; wb_dat_o[10] ; 10.965 ; 10.810 ; 11.346 ; 11.197 ;
2769
; wb_adr_i[3] ; wb_dat_o[11] ; 10.520 ; 10.353 ; 10.872 ; 10.791 ;
2770
; wb_adr_i[3] ; wb_dat_o[12] ; 9.015  ; 8.844  ; 9.393  ; 9.228  ;
2771
; wb_adr_i[3] ; wb_dat_o[13] ; 9.038  ; 8.869  ; 9.419  ; 9.256  ;
2772
; wb_adr_i[3] ; wb_dat_o[14] ; 10.392 ; 10.278 ; 10.773 ; 10.665 ;
2773
; wb_adr_i[3] ; wb_dat_o[15] ; 10.499 ; 10.382 ; 10.851 ; 10.820 ;
2774
; wb_adr_i[3] ; wb_dat_o[16] ; 9.796  ; 9.709  ; 10.177 ; 10.096 ;
2775
; wb_adr_i[3] ; wb_dat_o[17] ; 10.372 ; 10.217 ; 10.723 ; 10.654 ;
2776
; wb_adr_i[3] ; wb_dat_o[18] ; 11.046 ; 10.959 ; 11.462 ; 11.329 ;
2777
; wb_adr_i[3] ; wb_dat_o[19] ; 8.673  ; 8.534  ; 9.051  ; 8.918  ;
2778
; wb_adr_i[3] ; wb_dat_o[20] ; 9.356  ; 9.180  ; 9.734  ; 9.564  ;
2779
; wb_adr_i[3] ; wb_dat_o[21] ; 9.288  ; 9.102  ; 9.637  ; 9.537  ;
2780
; wb_adr_i[3] ; wb_dat_o[22] ; 9.599  ; 9.504  ; 9.990  ; 9.901  ;
2781
; wb_adr_i[3] ; wb_dat_o[23] ; 9.260  ; 9.066  ; 9.639  ; 9.451  ;
2782
; wb_adr_i[3] ; wb_dat_o[24] ; 9.238  ; 9.059  ; 9.629  ; 9.456  ;
2783
; wb_adr_i[3] ; wb_dat_o[25] ; 10.784 ; 10.659 ; 11.162 ; 11.043 ;
2784
; wb_adr_i[3] ; wb_dat_o[26] ; 9.859  ; 9.759  ; 10.237 ; 10.143 ;
2785
; wb_adr_i[3] ; wb_dat_o[27] ; 9.588  ; 9.490  ; 9.967  ; 9.875  ;
2786
; wb_adr_i[3] ; wb_dat_o[28] ; 9.781  ; 9.655  ; 10.160 ; 10.040 ;
2787
; wb_adr_i[3] ; wb_dat_o[29] ; 10.213 ; 10.059 ; 10.557 ; 10.489 ;
2788
; wb_adr_i[3] ; wb_dat_o[30] ; 10.050 ; 9.996  ; 10.456 ; 10.356 ;
2789
; wb_adr_i[3] ; wb_dat_o[31] ; 9.460  ; 9.332  ; 9.830  ; 9.708  ;
2790
; wb_adr_i[4] ; wb_dat_o[0]  ; 9.448  ; 8.999  ; 9.525  ; 9.638  ;
2791
; wb_adr_i[4] ; wb_dat_o[1]  ; 9.724  ; 8.771  ; 9.295  ; 9.948  ;
2792
; wb_adr_i[4] ; wb_dat_o[2]  ; 10.142 ; 10.020 ; 10.517 ; 10.416 ;
2793
; wb_adr_i[4] ; wb_dat_o[3]  ; 9.982  ; 9.369  ; 9.912  ; 10.173 ;
2794
; wb_adr_i[4] ; wb_dat_o[4]  ; 10.945 ; 9.882  ; 10.418 ; 11.146 ;
2795
; wb_adr_i[4] ; wb_dat_o[5]  ; 10.871 ; 9.142  ; 9.696  ; 11.047 ;
2796
; wb_adr_i[4] ; wb_dat_o[6]  ; 11.005 ; 9.702  ; 10.233 ; 11.211 ;
2797
; wb_adr_i[4] ; wb_dat_o[7]  ; 11.065 ; 10.447 ; 10.970 ; 11.296 ;
2798
; wb_adr_i[4] ; wb_dat_o[8]  ; 9.991  ; 9.660  ; 10.192 ; 10.207 ;
2799
; wb_adr_i[4] ; wb_dat_o[9]  ; 11.349 ; 11.189 ; 11.701 ; 11.619 ;
2800
; wb_adr_i[4] ; wb_dat_o[10] ; 11.082 ; 10.927 ; 11.475 ; 11.326 ;
2801
; wb_adr_i[4] ; wb_dat_o[11] ; 10.637 ; 10.470 ; 11.001 ; 10.920 ;
2802
; wb_adr_i[4] ; wb_dat_o[12] ; 9.132  ; 8.961  ; 9.522  ; 9.357  ;
2803
; wb_adr_i[4] ; wb_dat_o[13] ; 9.155  ; 8.986  ; 9.548  ; 9.385  ;
2804
; wb_adr_i[4] ; wb_dat_o[14] ; 10.509 ; 10.395 ; 10.902 ; 10.794 ;
2805
; wb_adr_i[4] ; wb_dat_o[15] ; 10.616 ; 10.499 ; 10.980 ; 10.949 ;
2806
; wb_adr_i[4] ; wb_dat_o[16] ; 9.913  ; 9.826  ; 10.306 ; 10.225 ;
2807
; wb_adr_i[4] ; wb_dat_o[17] ; 10.489 ; 10.334 ; 10.852 ; 10.783 ;
2808
; wb_adr_i[4] ; wb_dat_o[18] ; 11.163 ; 11.076 ; 11.591 ; 11.458 ;
2809
; wb_adr_i[4] ; wb_dat_o[19] ; 8.790  ; 8.651  ; 9.180  ; 9.047  ;
2810
; wb_adr_i[4] ; wb_dat_o[20] ; 9.473  ; 9.297  ; 9.863  ; 9.693  ;
2811
; wb_adr_i[4] ; wb_dat_o[21] ; 9.405  ; 9.219  ; 9.766  ; 9.666  ;
2812
; wb_adr_i[4] ; wb_dat_o[22] ; 9.716  ; 9.621  ; 10.119 ; 10.030 ;
2813
; wb_adr_i[4] ; wb_dat_o[23] ; 9.377  ; 9.183  ; 9.768  ; 9.580  ;
2814
; wb_adr_i[4] ; wb_dat_o[24] ; 9.355  ; 9.176  ; 9.758  ; 9.585  ;
2815
; wb_adr_i[4] ; wb_dat_o[25] ; 10.901 ; 10.776 ; 11.291 ; 11.172 ;
2816
; wb_adr_i[4] ; wb_dat_o[26] ; 9.976  ; 9.876  ; 10.366 ; 10.272 ;
2817
; wb_adr_i[4] ; wb_dat_o[27] ; 9.705  ; 9.607  ; 10.096 ; 10.004 ;
2818
; wb_adr_i[4] ; wb_dat_o[28] ; 9.898  ; 9.772  ; 10.289 ; 10.169 ;
2819
; wb_adr_i[4] ; wb_dat_o[29] ; 10.330 ; 10.176 ; 10.686 ; 10.618 ;
2820
; wb_adr_i[4] ; wb_dat_o[30] ; 10.167 ; 10.113 ; 10.585 ; 10.485 ;
2821
; wb_adr_i[4] ; wb_dat_o[31] ; 9.577  ; 9.449  ; 9.959  ; 9.837  ;
2822
; wb_adr_i[5] ; wb_dat_o[0]  ; 9.728  ; 9.279  ; 9.776  ; 9.889  ;
2823
; wb_adr_i[5] ; wb_dat_o[1]  ; 10.004 ; 9.051  ; 9.546  ; 10.199 ;
2824
; wb_adr_i[5] ; wb_dat_o[2]  ; 10.422 ; 10.300 ; 10.768 ; 10.667 ;
2825
; wb_adr_i[5] ; wb_dat_o[3]  ; 10.262 ; 9.649  ; 10.163 ; 10.424 ;
2826
; wb_adr_i[5] ; wb_dat_o[4]  ; 11.225 ; 10.162 ; 10.669 ; 11.397 ;
2827
; wb_adr_i[5] ; wb_dat_o[5]  ; 11.151 ; 9.422  ; 9.947  ; 11.298 ;
2828
; wb_adr_i[5] ; wb_dat_o[6]  ; 11.285 ; 9.982  ; 10.484 ; 11.462 ;
2829
; wb_adr_i[5] ; wb_dat_o[7]  ; 11.345 ; 10.727 ; 11.221 ; 11.547 ;
2830
; wb_adr_i[5] ; wb_dat_o[8]  ; 10.271 ; 9.940  ; 10.443 ; 10.458 ;
2831
; wb_adr_i[5] ; wb_dat_o[9]  ; 11.629 ; 11.469 ; 11.952 ; 11.870 ;
2832
; wb_adr_i[5] ; wb_dat_o[10] ; 11.362 ; 11.207 ; 11.726 ; 11.577 ;
2833
; wb_adr_i[5] ; wb_dat_o[11] ; 10.917 ; 10.750 ; 11.252 ; 11.171 ;
2834
; wb_adr_i[5] ; wb_dat_o[12] ; 9.412  ; 9.241  ; 9.773  ; 9.608  ;
2835
; wb_adr_i[5] ; wb_dat_o[13] ; 9.435  ; 9.266  ; 9.799  ; 9.636  ;
2836
; wb_adr_i[5] ; wb_dat_o[14] ; 10.789 ; 10.675 ; 11.153 ; 11.045 ;
2837
; wb_adr_i[5] ; wb_dat_o[15] ; 10.896 ; 10.779 ; 11.231 ; 11.200 ;
2838
; wb_adr_i[5] ; wb_dat_o[16] ; 10.193 ; 10.106 ; 10.557 ; 10.476 ;
2839
; wb_adr_i[5] ; wb_dat_o[17] ; 10.769 ; 10.614 ; 11.103 ; 11.034 ;
2840
; wb_adr_i[5] ; wb_dat_o[18] ; 11.443 ; 11.356 ; 11.842 ; 11.709 ;
2841
; wb_adr_i[5] ; wb_dat_o[19] ; 9.070  ; 8.931  ; 9.431  ; 9.298  ;
2842
; wb_adr_i[5] ; wb_dat_o[20] ; 9.753  ; 9.577  ; 10.114 ; 9.944  ;
2843
; wb_adr_i[5] ; wb_dat_o[21] ; 9.685  ; 9.499  ; 10.017 ; 9.917  ;
2844
; wb_adr_i[5] ; wb_dat_o[22] ; 9.996  ; 9.901  ; 10.370 ; 10.281 ;
2845
; wb_adr_i[5] ; wb_dat_o[23] ; 9.657  ; 9.463  ; 10.019 ; 9.831  ;
2846
; wb_adr_i[5] ; wb_dat_o[24] ; 9.635  ; 9.456  ; 10.009 ; 9.836  ;
2847
; wb_adr_i[5] ; wb_dat_o[25] ; 11.181 ; 11.056 ; 11.542 ; 11.423 ;
2848
; wb_adr_i[5] ; wb_dat_o[26] ; 10.256 ; 10.156 ; 10.617 ; 10.523 ;
2849
; wb_adr_i[5] ; wb_dat_o[27] ; 9.985  ; 9.887  ; 10.347 ; 10.255 ;
2850
; wb_adr_i[5] ; wb_dat_o[28] ; 10.178 ; 10.052 ; 10.540 ; 10.420 ;
2851
; wb_adr_i[5] ; wb_dat_o[29] ; 10.610 ; 10.456 ; 10.937 ; 10.869 ;
2852
; wb_adr_i[5] ; wb_dat_o[30] ; 10.447 ; 10.393 ; 10.836 ; 10.736 ;
2853
; wb_adr_i[5] ; wb_dat_o[31] ; 9.857  ; 9.729  ; 10.210 ; 10.088 ;
2854
; wb_cyc_i    ; wb_dat_o[0]  ; 6.920  ; 6.760  ; 7.242  ; 7.082  ;
2855
; wb_cyc_i    ; wb_dat_o[1]  ; 6.690  ; 6.530  ; 7.023  ; 6.863  ;
2856
; wb_cyc_i    ; wb_dat_o[2]  ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
2857
; wb_cyc_i    ; wb_dat_o[3]  ; 7.099  ; 6.939  ; 7.407  ; 7.247  ;
2858
; wb_cyc_i    ; wb_dat_o[4]  ; 8.471  ; 8.311  ; 8.850  ; 8.690  ;
2859
; wb_cyc_i    ; wb_dat_o[5]  ; 8.384  ; 8.224  ; 8.740  ; 8.580  ;
2860
; wb_cyc_i    ; wb_dat_o[6]  ; 8.471  ; 8.311  ; 8.850  ; 8.690  ;
2861
; wb_cyc_i    ; wb_dat_o[7]  ; 8.900  ; 8.764  ; 9.292  ; 9.156  ;
2862
; wb_cyc_i    ; wb_dat_o[8]  ; 7.300  ; 7.140  ; 7.607  ; 7.447  ;
2863
; wb_cyc_i    ; wb_dat_o[9]  ; 8.486  ; 8.326  ; 8.796  ; 8.636  ;
2864
; wb_cyc_i    ; wb_dat_o[10] ; 8.431  ; 8.295  ; 8.832  ; 8.696  ;
2865
; wb_cyc_i    ; wb_dat_o[11] ; 8.330  ; 8.170  ; 8.650  ; 8.490  ;
2866
; wb_cyc_i    ; wb_dat_o[12] ; 7.304  ; 7.144  ; 7.612  ; 7.452  ;
2867
; wb_cyc_i    ; wb_dat_o[13] ; 7.300  ; 7.140  ; 7.607  ; 7.447  ;
2868
; wb_cyc_i    ; wb_dat_o[14] ; 8.486  ; 8.326  ; 8.796  ; 8.636  ;
2869
; wb_cyc_i    ; wb_dat_o[15] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
2870
; wb_cyc_i    ; wb_dat_o[16] ; 8.169  ; 8.059  ; 8.493  ; 8.383  ;
2871
; wb_cyc_i    ; wb_dat_o[17] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
2872
; wb_cyc_i    ; wb_dat_o[18] ; 8.489  ; 8.329  ; 8.799  ; 8.639  ;
2873
; wb_cyc_i    ; wb_dat_o[19] ; 6.920  ; 6.760  ; 7.242  ; 7.082  ;
2874
; wb_cyc_i    ; wb_dat_o[20] ; 7.500  ; 7.340  ; 7.808  ; 7.648  ;
2875
; wb_cyc_i    ; wb_dat_o[21] ; 7.221  ; 7.111  ; 7.528  ; 7.418  ;
2876
; wb_cyc_i    ; wb_dat_o[22] ; 7.962  ; 7.876  ; 8.269  ; 8.183  ;
2877
; wb_cyc_i    ; wb_dat_o[23] ; 7.304  ; 7.144  ; 7.612  ; 7.452  ;
2878
; wb_cyc_i    ; wb_dat_o[24] ; 7.500  ; 7.340  ; 7.808  ; 7.648  ;
2879
; wb_cyc_i    ; wb_dat_o[25] ; 8.489  ; 8.329  ; 8.799  ; 8.639  ;
2880
; wb_cyc_i    ; wb_dat_o[26] ; 8.666  ; 8.530  ; 9.069  ; 8.933  ;
2881
; wb_cyc_i    ; wb_dat_o[27] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
2882
; wb_cyc_i    ; wb_dat_o[28] ; 8.900  ; 8.764  ; 9.292  ; 9.156  ;
2883
; wb_cyc_i    ; wb_dat_o[29] ; 8.666  ; 8.530  ; 9.069  ; 8.933  ;
2884
; wb_cyc_i    ; wb_dat_o[30] ; 8.667  ; 8.531  ; 9.060  ; 8.924  ;
2885
; wb_cyc_i    ; wb_dat_o[31] ; 7.535  ; 7.375  ; 7.843  ; 7.683  ;
2886
; wb_stb_i    ; wb_dat_o[0]  ; 7.130  ; 6.970  ; 7.464  ; 7.304  ;
2887
; wb_stb_i    ; wb_dat_o[1]  ; 6.900  ; 6.740  ; 7.245  ; 7.085  ;
2888
; wb_stb_i    ; wb_dat_o[2]  ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
2889
; wb_stb_i    ; wb_dat_o[3]  ; 7.309  ; 7.149  ; 7.629  ; 7.469  ;
2890
; wb_stb_i    ; wb_dat_o[4]  ; 8.681  ; 8.521  ; 9.072  ; 8.912  ;
2891
; wb_stb_i    ; wb_dat_o[5]  ; 8.594  ; 8.434  ; 8.962  ; 8.802  ;
2892
; wb_stb_i    ; wb_dat_o[6]  ; 8.681  ; 8.521  ; 9.072  ; 8.912  ;
2893
; wb_stb_i    ; wb_dat_o[7]  ; 9.110  ; 8.974  ; 9.514  ; 9.378  ;
2894
; wb_stb_i    ; wb_dat_o[8]  ; 7.510  ; 7.350  ; 7.829  ; 7.669  ;
2895
; wb_stb_i    ; wb_dat_o[9]  ; 8.696  ; 8.536  ; 9.018  ; 8.858  ;
2896
; wb_stb_i    ; wb_dat_o[10] ; 8.641  ; 8.505  ; 9.054  ; 8.918  ;
2897
; wb_stb_i    ; wb_dat_o[11] ; 8.540  ; 8.380  ; 8.872  ; 8.712  ;
2898
; wb_stb_i    ; wb_dat_o[12] ; 7.514  ; 7.354  ; 7.834  ; 7.674  ;
2899
; wb_stb_i    ; wb_dat_o[13] ; 7.510  ; 7.350  ; 7.829  ; 7.669  ;
2900
; wb_stb_i    ; wb_dat_o[14] ; 8.696  ; 8.536  ; 9.018  ; 8.858  ;
2901
; wb_stb_i    ; wb_dat_o[15] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
2902
; wb_stb_i    ; wb_dat_o[16] ; 8.379  ; 8.269  ; 8.715  ; 8.605  ;
2903
; wb_stb_i    ; wb_dat_o[17] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
2904
; wb_stb_i    ; wb_dat_o[18] ; 8.699  ; 8.539  ; 9.021  ; 8.861  ;
2905
; wb_stb_i    ; wb_dat_o[19] ; 7.130  ; 6.970  ; 7.464  ; 7.304  ;
2906
; wb_stb_i    ; wb_dat_o[20] ; 7.710  ; 7.550  ; 8.030  ; 7.870  ;
2907
; wb_stb_i    ; wb_dat_o[21] ; 7.431  ; 7.321  ; 7.750  ; 7.640  ;
2908
; wb_stb_i    ; wb_dat_o[22] ; 8.172  ; 8.086  ; 8.491  ; 8.405  ;
2909
; wb_stb_i    ; wb_dat_o[23] ; 7.514  ; 7.354  ; 7.834  ; 7.674  ;
2910
; wb_stb_i    ; wb_dat_o[24] ; 7.710  ; 7.550  ; 8.030  ; 7.870  ;
2911
; wb_stb_i    ; wb_dat_o[25] ; 8.699  ; 8.539  ; 9.021  ; 8.861  ;
2912
; wb_stb_i    ; wb_dat_o[26] ; 8.876  ; 8.740  ; 9.291  ; 9.155  ;
2913
; wb_stb_i    ; wb_dat_o[27] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
2914
; wb_stb_i    ; wb_dat_o[28] ; 9.110  ; 8.974  ; 9.514  ; 9.378  ;
2915
; wb_stb_i    ; wb_dat_o[29] ; 8.876  ; 8.740  ; 9.291  ; 9.155  ;
2916
; wb_stb_i    ; wb_dat_o[30] ; 8.877  ; 8.741  ; 9.282  ; 9.146  ;
2917
; wb_stb_i    ; wb_dat_o[31] ; 7.745  ; 7.585  ; 8.065  ; 7.905  ;
2918
; wb_we_i     ; wb_dat_o[0]  ; 6.706  ; 6.546  ; 7.093  ; 6.933  ;
2919
; wb_we_i     ; wb_dat_o[1]  ; 6.487  ; 6.327  ; 6.863  ; 6.703  ;
2920
; wb_we_i     ; wb_dat_o[2]  ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
2921
; wb_we_i     ; wb_dat_o[3]  ; 6.871  ; 6.711  ; 7.272  ; 7.112  ;
2922
; wb_we_i     ; wb_dat_o[4]  ; 8.314  ; 8.154  ; 8.644  ; 8.484  ;
2923
; wb_we_i     ; wb_dat_o[5]  ; 8.204  ; 8.044  ; 8.557  ; 8.397  ;
2924
; wb_we_i     ; wb_dat_o[6]  ; 8.314  ; 8.154  ; 8.644  ; 8.484  ;
2925
; wb_we_i     ; wb_dat_o[7]  ; 8.756  ; 8.620  ; 9.073  ; 8.937  ;
2926
; wb_we_i     ; wb_dat_o[8]  ; 7.071  ; 6.911  ; 7.473  ; 7.313  ;
2927
; wb_we_i     ; wb_dat_o[9]  ; 8.260  ; 8.100  ; 8.659  ; 8.499  ;
2928
; wb_we_i     ; wb_dat_o[10] ; 8.296  ; 8.160  ; 8.604  ; 8.468  ;
2929
; wb_we_i     ; wb_dat_o[11] ; 8.114  ; 7.954  ; 8.503  ; 8.343  ;
2930
; wb_we_i     ; wb_dat_o[12] ; 7.076  ; 6.916  ; 7.477  ; 7.317  ;
2931
; wb_we_i     ; wb_dat_o[13] ; 7.071  ; 6.911  ; 7.473  ; 7.313  ;
2932
; wb_we_i     ; wb_dat_o[14] ; 8.260  ; 8.100  ; 8.659  ; 8.499  ;
2933
; wb_we_i     ; wb_dat_o[15] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
2934
; wb_we_i     ; wb_dat_o[16] ; 7.957  ; 7.847  ; 8.342  ; 8.232  ;
2935
; wb_we_i     ; wb_dat_o[17] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
2936
; wb_we_i     ; wb_dat_o[18] ; 8.263  ; 8.103  ; 8.662  ; 8.502  ;
2937
; wb_we_i     ; wb_dat_o[19] ; 6.706  ; 6.546  ; 7.093  ; 6.933  ;
2938
; wb_we_i     ; wb_dat_o[20] ; 7.272  ; 7.112  ; 7.673  ; 7.513  ;
2939
; wb_we_i     ; wb_dat_o[21] ; 6.992  ; 6.882  ; 7.394  ; 7.284  ;
2940
; wb_we_i     ; wb_dat_o[22] ; 7.733  ; 7.647  ; 8.135  ; 8.049  ;
2941
; wb_we_i     ; wb_dat_o[23] ; 7.076  ; 6.916  ; 7.477  ; 7.317  ;
2942
; wb_we_i     ; wb_dat_o[24] ; 7.272  ; 7.112  ; 7.673  ; 7.513  ;
2943
; wb_we_i     ; wb_dat_o[25] ; 8.263  ; 8.103  ; 8.662  ; 8.502  ;
2944
; wb_we_i     ; wb_dat_o[26] ; 8.533  ; 8.397  ; 8.839  ; 8.703  ;
2945
; wb_we_i     ; wb_dat_o[27] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
2946
; wb_we_i     ; wb_dat_o[28] ; 8.756  ; 8.620  ; 9.073  ; 8.937  ;
2947
; wb_we_i     ; wb_dat_o[29] ; 8.533  ; 8.397  ; 8.839  ; 8.703  ;
2948
; wb_we_i     ; wb_dat_o[30] ; 8.524  ; 8.388  ; 8.840  ; 8.704  ;
2949
; wb_we_i     ; wb_dat_o[31] ; 7.307  ; 7.147  ; 7.708  ; 7.548  ;
2950
+-------------+--------------+--------+--------+--------+--------+
2951
 
2952
 
2953
---------------------------------------------
2954
; Slow 1200mV 0C Model Metastability Report ;
2955
---------------------------------------------
2956
No synchronizer chains to report.
2957
 
2958
 
2959
+------------------------------------+
2960
; Fast 1200mV 0C Model Setup Summary ;
2961
+----------+--------+----------------+
2962
; Clock    ; Slack  ; End Point TNS  ;
2963
+----------+--------+----------------+
2964
; wb_clk_i ; -1.753 ; -113.466       ;
2965
+----------+--------+----------------+
2966
 
2967
 
2968
+-----------------------------------+
2969
; Fast 1200mV 0C Model Hold Summary ;
2970
+----------+-------+----------------+
2971
; Clock    ; Slack ; End Point TNS  ;
2972
+----------+-------+----------------+
2973
; wb_clk_i ; 0.185 ; 0.000          ;
2974
+----------+-------+----------------+
2975
 
2976
 
2977
+---------------------------------------+
2978
; Fast 1200mV 0C Model Recovery Summary ;
2979
+----------+--------+-------------------+
2980
; Clock    ; Slack  ; End Point TNS     ;
2981
+----------+--------+-------------------+
2982
; wb_clk_i ; -1.085 ; -103.677          ;
2983
+----------+--------+-------------------+
2984
 
2985
 
2986
+--------------------------------------+
2987
; Fast 1200mV 0C Model Removal Summary ;
2988
+----------+-------+-------------------+
2989
; Clock    ; Slack ; End Point TNS     ;
2990
+----------+-------+-------------------+
2991
; wb_clk_i ; 1.353 ; 0.000             ;
2992
+----------+-------+-------------------+
2993
 
2994
 
2995
+--------------------------------------------------+
2996
; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
2997
+----------+--------+------------------------------+
2998
; Clock    ; Slack  ; End Point TNS                ;
2999
+----------+--------+------------------------------+
3000
; wb_clk_i ; -3.000 ; -326.495                     ;
3001
+----------+--------+------------------------------+
3002
 
3003
 
3004
+------------------------------------------------------------------------------------------------------------------------------------------+
3005
; Fast 1200mV 0C Model Setup: 'wb_clk_i'                                                                                                   ;
3006
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
3007
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3008
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
3009
; -1.753 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.847      ;
3010
; -1.744 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.838      ;
3011
; -1.682 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.554      ;
3012
; -1.680 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.552      ;
3013
; -1.680 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.552      ;
3014
; -1.679 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.551      ;
3015
; -1.677 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.549      ;
3016
; -1.677 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.549      ;
3017
; -1.646 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.740      ;
3018
; -1.637 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.731      ;
3019
; -1.636 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.508      ;
3020
; -1.633 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.505      ;
3021
; -1.629 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.704      ;
3022
; -1.620 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.695      ;
3023
; -1.618 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.712      ;
3024
; -1.609 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.703      ;
3025
; -1.600 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.694      ;
3026
; -1.600 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.695      ;
3027
; -1.599 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.693      ;
3028
; -1.598 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.693      ;
3029
; -1.598 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.693      ;
3030
; -1.591 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.685      ;
3031
; -1.590 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.684      ;
3032
; -1.586 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.681      ;
3033
; -1.584 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.679      ;
3034
; -1.584 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.679      ;
3035
; -1.575 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.650      ;
3036
; -1.574 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.649      ;
3037
; -1.571 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.646      ;
3038
; -1.569 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.644      ;
3039
; -1.568 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.643      ;
3040
; -1.564 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.659      ;
3041
; -1.562 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.637      ;
3042
; -1.562 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.657      ;
3043
; -1.562 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.657      ;
3044
; -1.556 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.651      ;
3045
; -1.554 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.649      ;
3046
; -1.554 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.649      ;
3047
; -1.553 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.421      ;
3048
; -1.552 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.647      ;
3049
; -1.551 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.646      ;
3050
; -1.551 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.419      ;
3051
; -1.551 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.419      ;
3052
; -1.550 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.625      ;
3053
; -1.550 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.645      ;
3054
; -1.550 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.645      ;
3055
; -1.549 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.624      ;
3056
; -1.549 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.644      ;
3057
; -1.549 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.644      ;
3058
; -1.544 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.412      ;
3059
; -1.542 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.410      ;
3060
; -1.542 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.410      ;
3061
; -1.541 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.616      ;
3062
; -1.540 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.615      ;
3063
; -1.534 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.609      ;
3064
; -1.525 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.600      ;
3065
; -1.524 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.396      ;
3066
; -1.522 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.394      ;
3067
; -1.522 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.394      ;
3068
; -1.521 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.596      ;
3069
; -1.520 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.614      ;
3070
; -1.520 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.388      ;
3071
; -1.518 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.386      ;
3072
; -1.518 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.386      ;
3073
; -1.514 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.387      ;
3074
; -1.512 ; bitCountReg[2]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.587      ;
3075
; -1.512 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.380      ;
3076
; -1.512 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.385      ;
3077
; -1.512 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.385      ;
3078
; -1.511 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.605      ;
3079
; -1.510 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.378      ;
3080
; -1.510 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.378      ;
3081
; -1.508 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.603      ;
3082
; -1.506 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.601      ;
3083
; -1.506 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.601      ;
3084
; -1.503 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.597      ;
3085
; -1.500 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.594      ;
3086
; -1.498 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.573      ;
3087
; -1.498 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.592      ;
3088
; -1.498 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.592      ;
3089
; -1.496 ; bitCount[5]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.571      ;
3090
; -1.496 ; pulseCnt[26]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.368      ;
3091
; -1.495 ; bitCount[5]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.570      ;
3092
; -1.494 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.588      ;
3093
; -1.494 ; pulseCnt[26]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.366      ;
3094
; -1.494 ; pulseCnt[26]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.366      ;
3095
; -1.489 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.564      ;
3096
; -1.489 ; wb_interface_wieg:wb_interface|size[4]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.413     ; 1.563      ;
3097
; -1.488 ; wb_interface_wieg:wb_interface|size[4]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.413     ; 1.562      ;
3098
; -1.483 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.577      ;
3099
; -1.482 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.576      ;
3100
; -1.481 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.575      ;
3101
; -1.481 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.575      ;
3102
; -1.480 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.574      ;
3103
; -1.480 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.574      ;
3104
; -1.479 ; wb_interface_wieg:wb_interface|p2p[4]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.573      ;
3105
; -1.478 ; pulseCnt[28]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.350      ;
3106
; -1.477 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.572      ;
3107
; -1.476 ; pulseCnt[13]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.571      ;
3108
; -1.475 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.569      ;
3109
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
3110
 
3111
 
3112
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3113
; Fast 1200mV 0C Model Hold: 'wb_clk_i'                                                                                                                                                                                                          ;
3114
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3115
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3116
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3117
; 0.185 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.307      ;
3118
; 0.185 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.307      ;
3119
; 0.186 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
3120
; 0.186 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
3121
; 0.186 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
3122
; 0.186 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
3123
; 0.192 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.313      ;
3124
; 0.192 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.313      ;
3125
; 0.194 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.315      ;
3126
; 0.197 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.328      ;
3127
; 0.203 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.325      ;
3128
; 0.214 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.232      ; 0.530      ;
3129
; 0.234 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.578      ;
3130
; 0.234 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.578      ;
3131
; 0.243 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.587      ;
3132
; 0.247 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.591      ;
3133
; 0.254 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
3134
; 0.254 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
3135
; 0.254 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
3136
; 0.255 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
3137
; 0.255 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
3138
; 0.255 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
3139
; 0.256 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
3140
; 0.256 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
3141
; 0.256 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
3142
; 0.256 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
3143
; 0.257 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.378      ;
3144
; 0.263 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.394      ;
3145
; 0.264 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.385      ;
3146
; 0.266 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.039      ; 0.389      ;
3147
; 0.268 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.389      ;
3148
; 0.280 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.402      ;
3149
; 0.296 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.048      ; 0.428      ;
3150
; 0.296 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.640      ;
3151
; 0.297 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.428      ;
3152
; 0.297 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.641      ;
3153
; 0.298 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.429      ;
3154
; 0.299 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.430      ;
3155
; 0.300 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.431      ;
3156
; 0.300 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
3157
; 0.300 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.431      ;
3158
; 0.300 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
3159
; 0.300 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
3160
; 0.304 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
3161
; 0.304 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
3162
; 0.304 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
3163
; 0.304 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
3164
; 0.305 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.426      ;
3165
; 0.305 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.427      ;
3166
; 0.306 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.427      ;
3167
; 0.306 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.427      ;
3168
; 0.306 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
3169
; 0.306 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
3170
; 0.306 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
3171
; 0.306 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
3172
; 0.306 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
3173
; 0.307 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3174
; 0.307 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3175
; 0.307 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3176
; 0.307 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3177
; 0.307 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3178
; 0.307 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3179
; 0.307 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3180
; 0.307 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3181
; 0.307 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3182
; 0.307 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3183
; 0.307 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3184
; 0.307 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3185
; 0.307 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3186
; 0.307 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3187
; 0.307 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
3188
; 0.308 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3189
; 0.308 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3190
; 0.308 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3191
; 0.308 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3192
; 0.308 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3193
; 0.308 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3194
; 0.308 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3195
; 0.308 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3196
; 0.308 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3197
; 0.308 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3198
; 0.308 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3199
; 0.308 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3200
; 0.308 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3201
; 0.308 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3202
; 0.308 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
3203
; 0.310 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.432      ;
3204
; 0.310 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.654      ;
3205
; 0.311 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.433      ;
3206
; 0.312 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.434      ;
3207
; 0.312 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.434      ;
3208
; 0.313 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.657      ;
3209
; 0.313 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.657      ;
3210
; 0.317 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.439      ;
3211
; 0.317 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.439      ;
3212
; 0.319 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.441      ;
3213
; 0.320 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.441      ;
3214
; 0.320 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.441      ;
3215
; 0.321 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.442      ;
3216
; 0.326 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.448      ;
3217
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3218
 
3219
 
3220
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3221
; Fast 1200mV 0C Model Recovery: 'wb_clk_i'                                                                                                                                                                           ;
3222
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3223
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3224
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3225
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
3226
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
3227
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
3228
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3229
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3230
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3231
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3232
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3233
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3234
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3235
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3236
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
3237
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3238
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3239
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3240
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3241
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3242
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3243
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3244
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3245
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3246
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3247
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3248
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3249
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3250
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3251
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
3252
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
3253
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3254
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3255
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3256
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3257
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3258
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3259
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3260
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
3261
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3262
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3263
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3264
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3265
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3266
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3267
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
3268
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3269
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3270
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3271
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3272
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3273
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3274
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3275
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
3276
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3277
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3278
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3279
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3280
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3281
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
3282
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3283
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3284
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
3285
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3286
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
3287
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
3288
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3289
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3290
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3291
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3292
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3293
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
3294
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3295
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3296
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
3297
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3298
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3299
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3300
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
3301
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
3302
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3303
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3304
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3305
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3306
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3307
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3308
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3309
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
3310
; -0.679 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.155      ; 1.821      ;
3311
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
3312
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
3313
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
3314
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3315
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3316
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3317
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3318
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3319
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3320
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3321
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
3322
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.166      ; 1.819      ;
3323
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.168      ; 1.821      ;
3324
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.169      ; 1.822      ;
3325
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3326
 
3327
 
3328
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3329
; Fast 1200mV 0C Model Removal: 'wb_clk_i'                                                                                                                                                                           ;
3330
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3331
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3332
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3333
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3334
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3335
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3336
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3337
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3338
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3339
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3340
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3341
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3342
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
3343
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3344
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3345
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3346
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3347
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3348
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3349
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
3350
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3351
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3352
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3353
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3354
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3355
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3356
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3357
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3358
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3359
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3360
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3361
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3362
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3363
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3364
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
3365
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3366
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3367
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3368
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3369
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
3370
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
3371
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
3372
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
3373
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3374
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3375
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3376
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3377
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3378
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3379
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3380
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
3381
; 1.366 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.268      ; 1.718      ;
3382
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3383
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3384
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3385
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3386
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3387
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3388
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3389
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3390
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
3391
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3392
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3393
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3394
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3395
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3396
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
3397
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3398
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3399
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3400
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3401
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3402
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3403
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3404
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3405
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
3406
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3407
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3408
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3409
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3410
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
3411
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
3412
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
3413
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
3414
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
3415
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
3416
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3417
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3418
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3419
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3420
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3421
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3422
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3423
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3424
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
3425
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3426
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3427
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3428
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3429
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3430
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3431
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3432
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
3433
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
3434
 
3435
 
3436
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3437
; Fast 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                      ;
3438
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
3439
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
3440
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
3441
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
3442
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
3443
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
3444
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
3445
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
3446
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
3447
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
3448
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
3449
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
3450
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
3451
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
3452
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
3453
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
3454
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
3455
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
3456
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
3457
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
3458
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
3459
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
3460
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
3461
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
3462
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
3463
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
3464
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
3465
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
3466
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
3467
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
3468
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
3469
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
3470
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
3471
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
3472
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
3473
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
3474
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
3475
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
3476
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
3477
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
3478
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
3479
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
3480
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
3481
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
3482
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
3483
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
3484
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
3485
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
3486
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
3487
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
3488
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
3489
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
3490
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
3491
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
3492
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
3493
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
3494
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
3495
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
3496
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
3497
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
3498
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
3499
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
3500
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
3501
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
3502
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
3503
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
3504
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
3505
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
3506
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
3507
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
3508
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
3509
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
3510
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
3511
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
3512
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
3513
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
3514
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
3515
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
3516
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
3517
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
3518
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
3519
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
3520
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
3521
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
3522
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
3523
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
3524
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
3525
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
3526
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
3527
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
3528
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
3529
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
3530
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
3531
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
3532
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
3533
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
3534
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
3535
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
3536
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
3537
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
3538
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
3539
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
3540
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
3541
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
3542
 
3543
 
3544
+---------------------------------------------------------------------------+
3545
; Setup Times                                                               ;
3546
+---------------+------------+-------+-------+------------+-----------------+
3547
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
3548
+---------------+------------+-------+-------+------------+-----------------+
3549
; wb_adr_i[*]   ; wb_clk_i   ; 2.500 ; 2.887 ; Rise       ; wb_clk_i        ;
3550
;  wb_adr_i[0]  ; wb_clk_i   ; 1.457 ; 2.001 ; Rise       ; wb_clk_i        ;
3551
;  wb_adr_i[2]  ; wb_clk_i   ; 2.341 ; 2.712 ; Rise       ; wb_clk_i        ;
3552
;  wb_adr_i[3]  ; wb_clk_i   ; 2.249 ; 2.608 ; Rise       ; wb_clk_i        ;
3553
;  wb_adr_i[4]  ; wb_clk_i   ; 2.329 ; 2.714 ; Rise       ; wb_clk_i        ;
3554
;  wb_adr_i[5]  ; wb_clk_i   ; 2.500 ; 2.887 ; Rise       ; wb_clk_i        ;
3555
; wb_cyc_i      ; wb_clk_i   ; 1.626 ; 2.300 ; Rise       ; wb_clk_i        ;
3556
; wb_stb_i      ; wb_clk_i   ; 1.783 ; 2.480 ; Rise       ; wb_clk_i        ;
3557
; wb_we_i       ; wb_clk_i   ; 1.414 ; 2.035 ; Rise       ; wb_clk_i        ;
3558
; wb_adr_i[*]   ; wb_clk_i   ; 3.938 ; 4.184 ; Fall       ; wb_clk_i        ;
3559
;  wb_adr_i[0]  ; wb_clk_i   ; 2.699 ; 3.270 ; Fall       ; wb_clk_i        ;
3560
;  wb_adr_i[1]  ; wb_clk_i   ; 2.570 ; 3.368 ; Fall       ; wb_clk_i        ;
3561
;  wb_adr_i[2]  ; wb_clk_i   ; 3.779 ; 4.009 ; Fall       ; wb_clk_i        ;
3562
;  wb_adr_i[3]  ; wb_clk_i   ; 3.687 ; 3.905 ; Fall       ; wb_clk_i        ;
3563
;  wb_adr_i[4]  ; wb_clk_i   ; 3.767 ; 4.011 ; Fall       ; wb_clk_i        ;
3564
;  wb_adr_i[5]  ; wb_clk_i   ; 3.938 ; 4.184 ; Fall       ; wb_clk_i        ;
3565
; wb_cyc_i      ; wb_clk_i   ; 2.154 ; 2.898 ; Fall       ; wb_clk_i        ;
3566
; wb_dat_i[*]   ; wb_clk_i   ; 1.279 ; 1.970 ; Fall       ; wb_clk_i        ;
3567
;  wb_dat_i[0]  ; wb_clk_i   ; 0.953 ; 1.584 ; Fall       ; wb_clk_i        ;
3568
;  wb_dat_i[1]  ; wb_clk_i   ; 0.681 ; 1.288 ; Fall       ; wb_clk_i        ;
3569
;  wb_dat_i[2]  ; wb_clk_i   ; 0.582 ; 1.162 ; Fall       ; wb_clk_i        ;
3570
;  wb_dat_i[3]  ; wb_clk_i   ; 0.964 ; 1.585 ; Fall       ; wb_clk_i        ;
3571
;  wb_dat_i[4]  ; wb_clk_i   ; 0.977 ; 1.644 ; Fall       ; wb_clk_i        ;
3572
;  wb_dat_i[5]  ; wb_clk_i   ; 0.853 ; 1.471 ; Fall       ; wb_clk_i        ;
3573
;  wb_dat_i[6]  ; wb_clk_i   ; 1.176 ; 1.865 ; Fall       ; wb_clk_i        ;
3574
;  wb_dat_i[7]  ; wb_clk_i   ; 0.823 ; 1.450 ; Fall       ; wb_clk_i        ;
3575
;  wb_dat_i[8]  ; wb_clk_i   ; 1.102 ; 1.770 ; Fall       ; wb_clk_i        ;
3576
;  wb_dat_i[9]  ; wb_clk_i   ; 0.866 ; 1.498 ; Fall       ; wb_clk_i        ;
3577
;  wb_dat_i[10] ; wb_clk_i   ; 1.112 ; 1.783 ; Fall       ; wb_clk_i        ;
3578
;  wb_dat_i[11] ; wb_clk_i   ; 0.950 ; 1.597 ; Fall       ; wb_clk_i        ;
3579
;  wb_dat_i[12] ; wb_clk_i   ; 0.788 ; 1.431 ; Fall       ; wb_clk_i        ;
3580
;  wb_dat_i[13] ; wb_clk_i   ; 1.174 ; 1.844 ; Fall       ; wb_clk_i        ;
3581
;  wb_dat_i[14] ; wb_clk_i   ; 1.078 ; 1.751 ; Fall       ; wb_clk_i        ;
3582
;  wb_dat_i[15] ; wb_clk_i   ; 0.935 ; 1.565 ; Fall       ; wb_clk_i        ;
3583
;  wb_dat_i[16] ; wb_clk_i   ; 0.964 ; 1.614 ; Fall       ; wb_clk_i        ;
3584
;  wb_dat_i[17] ; wb_clk_i   ; 1.049 ; 1.711 ; Fall       ; wb_clk_i        ;
3585
;  wb_dat_i[18] ; wb_clk_i   ; 0.949 ; 1.597 ; Fall       ; wb_clk_i        ;
3586
;  wb_dat_i[19] ; wb_clk_i   ; 0.976 ; 1.599 ; Fall       ; wb_clk_i        ;
3587
;  wb_dat_i[20] ; wb_clk_i   ; 1.279 ; 1.965 ; Fall       ; wb_clk_i        ;
3588
;  wb_dat_i[21] ; wb_clk_i   ; 1.012 ; 1.669 ; Fall       ; wb_clk_i        ;
3589
;  wb_dat_i[22] ; wb_clk_i   ; 0.392 ; 0.964 ; Fall       ; wb_clk_i        ;
3590
;  wb_dat_i[23] ; wb_clk_i   ; 0.810 ; 1.425 ; Fall       ; wb_clk_i        ;
3591
;  wb_dat_i[24] ; wb_clk_i   ; 0.989 ; 1.631 ; Fall       ; wb_clk_i        ;
3592
;  wb_dat_i[25] ; wb_clk_i   ; 0.803 ; 1.432 ; Fall       ; wb_clk_i        ;
3593
;  wb_dat_i[26] ; wb_clk_i   ; 0.862 ; 1.512 ; Fall       ; wb_clk_i        ;
3594
;  wb_dat_i[27] ; wb_clk_i   ; 0.893 ; 1.554 ; Fall       ; wb_clk_i        ;
3595
;  wb_dat_i[28] ; wb_clk_i   ; 0.747 ; 1.327 ; Fall       ; wb_clk_i        ;
3596
;  wb_dat_i[29] ; wb_clk_i   ; 0.934 ; 1.597 ; Fall       ; wb_clk_i        ;
3597
;  wb_dat_i[30] ; wb_clk_i   ; 1.081 ; 1.765 ; Fall       ; wb_clk_i        ;
3598
;  wb_dat_i[31] ; wb_clk_i   ; 1.275 ; 1.970 ; Fall       ; wb_clk_i        ;
3599
; wb_stb_i      ; wb_clk_i   ; 2.311 ; 3.078 ; Fall       ; wb_clk_i        ;
3600
; wb_we_i       ; wb_clk_i   ; 1.725 ; 2.404 ; Fall       ; wb_clk_i        ;
3601
+---------------+------------+-------+-------+------------+-----------------+
3602
 
3603
 
3604
+-----------------------------------------------------------------------------+
3605
; Hold Times                                                                  ;
3606
+---------------+------------+--------+--------+------------+-----------------+
3607
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
3608
+---------------+------------+--------+--------+------------+-----------------+
3609
; wb_adr_i[*]   ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
3610
;  wb_adr_i[0]  ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
3611
;  wb_adr_i[2]  ; wb_clk_i   ; -1.937 ; -2.322 ; Rise       ; wb_clk_i        ;
3612
;  wb_adr_i[3]  ; wb_clk_i   ; -1.848 ; -2.220 ; Rise       ; wb_clk_i        ;
3613
;  wb_adr_i[4]  ; wb_clk_i   ; -1.924 ; -2.322 ; Rise       ; wb_clk_i        ;
3614
;  wb_adr_i[5]  ; wb_clk_i   ; -2.090 ; -2.490 ; Rise       ; wb_clk_i        ;
3615
; wb_cyc_i      ; wb_clk_i   ; -1.014 ; -1.655 ; Rise       ; wb_clk_i        ;
3616
; wb_stb_i      ; wb_clk_i   ; -1.159 ; -1.809 ; Rise       ; wb_clk_i        ;
3617
; wb_we_i       ; wb_clk_i   ; -0.811 ; -1.397 ; Rise       ; wb_clk_i        ;
3618
; wb_adr_i[*]   ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
3619
;  wb_adr_i[0]  ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
3620
;  wb_adr_i[1]  ; wb_clk_i   ; -1.255 ; -1.844 ; Fall       ; wb_clk_i        ;
3621
;  wb_adr_i[2]  ; wb_clk_i   ; -2.269 ; -2.619 ; Fall       ; wb_clk_i        ;
3622
;  wb_adr_i[3]  ; wb_clk_i   ; -2.180 ; -2.517 ; Fall       ; wb_clk_i        ;
3623
;  wb_adr_i[4]  ; wb_clk_i   ; -2.256 ; -2.619 ; Fall       ; wb_clk_i        ;
3624
;  wb_adr_i[5]  ; wb_clk_i   ; -2.422 ; -2.787 ; Fall       ; wb_clk_i        ;
3625
; wb_cyc_i      ; wb_clk_i   ; -1.178 ; -1.857 ; Fall       ; wb_clk_i        ;
3626
; wb_dat_i[*]   ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
3627
;  wb_dat_i[0]  ; wb_clk_i   ; -0.098 ; -0.645 ; Fall       ; wb_clk_i        ;
3628
;  wb_dat_i[1]  ; wb_clk_i   ; 0.001  ; -0.547 ; Fall       ; wb_clk_i        ;
3629
;  wb_dat_i[2]  ; wb_clk_i   ; -0.078 ; -0.642 ; Fall       ; wb_clk_i        ;
3630
;  wb_dat_i[3]  ; wb_clk_i   ; -0.049 ; -0.626 ; Fall       ; wb_clk_i        ;
3631
;  wb_dat_i[4]  ; wb_clk_i   ; -0.315 ; -0.919 ; Fall       ; wb_clk_i        ;
3632
;  wb_dat_i[5]  ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
3633
;  wb_dat_i[6]  ; wb_clk_i   ; -0.515 ; -1.152 ; Fall       ; wb_clk_i        ;
3634
;  wb_dat_i[7]  ; wb_clk_i   ; -0.139 ; -0.697 ; Fall       ; wb_clk_i        ;
3635
;  wb_dat_i[8]  ; wb_clk_i   ; -0.259 ; -0.872 ; Fall       ; wb_clk_i        ;
3636
;  wb_dat_i[9]  ; wb_clk_i   ; -0.299 ; -0.926 ; Fall       ; wb_clk_i        ;
3637
;  wb_dat_i[10] ; wb_clk_i   ; -0.612 ; -1.270 ; Fall       ; wb_clk_i        ;
3638
;  wb_dat_i[11] ; wb_clk_i   ; -0.412 ; -1.044 ; Fall       ; wb_clk_i        ;
3639
;  wb_dat_i[12] ; wb_clk_i   ; -0.241 ; -0.857 ; Fall       ; wb_clk_i        ;
3640
;  wb_dat_i[13] ; wb_clk_i   ; -0.449 ; -1.084 ; Fall       ; wb_clk_i        ;
3641
;  wb_dat_i[14] ; wb_clk_i   ; -0.328 ; -0.954 ; Fall       ; wb_clk_i        ;
3642
;  wb_dat_i[15] ; wb_clk_i   ; -0.293 ; -0.918 ; Fall       ; wb_clk_i        ;
3643
;  wb_dat_i[16] ; wb_clk_i   ; -0.458 ; -1.080 ; Fall       ; wb_clk_i        ;
3644
;  wb_dat_i[17] ; wb_clk_i   ; -0.357 ; -0.974 ; Fall       ; wb_clk_i        ;
3645
;  wb_dat_i[18] ; wb_clk_i   ; -0.351 ; -0.966 ; Fall       ; wb_clk_i        ;
3646
;  wb_dat_i[19] ; wb_clk_i   ; -0.377 ; -0.969 ; Fall       ; wb_clk_i        ;
3647
;  wb_dat_i[20] ; wb_clk_i   ; -0.587 ; -1.238 ; Fall       ; wb_clk_i        ;
3648
;  wb_dat_i[21] ; wb_clk_i   ; -0.466 ; -1.117 ; Fall       ; wb_clk_i        ;
3649
;  wb_dat_i[22] ; wb_clk_i   ; -0.124 ; -0.675 ; Fall       ; wb_clk_i        ;
3650
;  wb_dat_i[23] ; wb_clk_i   ; -0.291 ; -0.842 ; Fall       ; wb_clk_i        ;
3651
;  wb_dat_i[24] ; wb_clk_i   ; -0.202 ; -0.807 ; Fall       ; wb_clk_i        ;
3652
;  wb_dat_i[25] ; wb_clk_i   ; -0.300 ; -0.933 ; Fall       ; wb_clk_i        ;
3653
;  wb_dat_i[26] ; wb_clk_i   ; -0.320 ; -0.955 ; Fall       ; wb_clk_i        ;
3654
;  wb_dat_i[27] ; wb_clk_i   ; -0.346 ; -0.974 ; Fall       ; wb_clk_i        ;
3655
;  wb_dat_i[28] ; wb_clk_i   ; -0.132 ; -0.715 ; Fall       ; wb_clk_i        ;
3656
;  wb_dat_i[29] ; wb_clk_i   ; -0.267 ; -0.893 ; Fall       ; wb_clk_i        ;
3657
;  wb_dat_i[30] ; wb_clk_i   ; -0.378 ; -1.014 ; Fall       ; wb_clk_i        ;
3658
;  wb_dat_i[31] ; wb_clk_i   ; -0.772 ; -1.446 ; Fall       ; wb_clk_i        ;
3659
; wb_stb_i      ; wb_clk_i   ; -1.287 ; -1.969 ; Fall       ; wb_clk_i        ;
3660
; wb_we_i       ; wb_clk_i   ; -0.764 ; -1.380 ; Fall       ; wb_clk_i        ;
3661
+---------------+------------+--------+--------+------------+-----------------+
3662
 
3663
 
3664
+---------------------------------------------------------------------------+
3665
; Clock to Output Times                                                     ;
3666
+---------------+------------+-------+-------+------------+-----------------+
3667
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
3668
+---------------+------------+-------+-------+------------+-----------------+
3669
; wb_ack_o      ; wb_clk_i   ; 4.319 ; 4.385 ; Rise       ; wb_clk_i        ;
3670
; wb_err_o      ; wb_clk_i   ; 3.995 ; 4.070 ; Rise       ; wb_clk_i        ;
3671
; wb_rty_o      ; wb_clk_i   ; 3.697 ; 3.743 ; Rise       ; wb_clk_i        ;
3672
; one_o         ; wb_clk_i   ; 4.581 ; 4.448 ; Fall       ; wb_clk_i        ;
3673
; wb_dat_o[*]   ; wb_clk_i   ; 5.916 ; 6.182 ; Fall       ; wb_clk_i        ;
3674
;  wb_dat_o[0]  ; wb_clk_i   ; 4.844 ; 4.957 ; Fall       ; wb_clk_i        ;
3675
;  wb_dat_o[1]  ; wb_clk_i   ; 5.589 ; 5.601 ; Fall       ; wb_clk_i        ;
3676
;  wb_dat_o[2]  ; wb_clk_i   ; 5.218 ; 5.441 ; Fall       ; wb_clk_i        ;
3677
;  wb_dat_o[3]  ; wb_clk_i   ; 5.087 ; 5.164 ; Fall       ; wb_clk_i        ;
3678
;  wb_dat_o[4]  ; wb_clk_i   ; 5.360 ; 5.551 ; Fall       ; wb_clk_i        ;
3679
;  wb_dat_o[5]  ; wb_clk_i   ; 5.024 ; 5.181 ; Fall       ; wb_clk_i        ;
3680
;  wb_dat_o[6]  ; wb_clk_i   ; 5.417 ; 5.623 ; Fall       ; wb_clk_i        ;
3681
;  wb_dat_o[7]  ; wb_clk_i   ; 5.574 ; 5.743 ; Fall       ; wb_clk_i        ;
3682
;  wb_dat_o[8]  ; wb_clk_i   ; 4.928 ; 5.057 ; Fall       ; wb_clk_i        ;
3683
;  wb_dat_o[9]  ; wb_clk_i   ; 5.916 ; 6.182 ; Fall       ; wb_clk_i        ;
3684
;  wb_dat_o[10] ; wb_clk_i   ; 5.716 ; 5.899 ; Fall       ; wb_clk_i        ;
3685
;  wb_dat_o[11] ; wb_clk_i   ; 5.130 ; 5.333 ; Fall       ; wb_clk_i        ;
3686
;  wb_dat_o[12] ; wb_clk_i   ; 4.532 ; 4.621 ; Fall       ; wb_clk_i        ;
3687
;  wb_dat_o[13] ; wb_clk_i   ; 4.527 ; 4.618 ; Fall       ; wb_clk_i        ;
3688
;  wb_dat_o[14] ; wb_clk_i   ; 5.296 ; 5.510 ; Fall       ; wb_clk_i        ;
3689
;  wb_dat_o[15] ; wb_clk_i   ; 5.155 ; 5.370 ; Fall       ; wb_clk_i        ;
3690
;  wb_dat_o[16] ; wb_clk_i   ; 4.890 ; 5.046 ; Fall       ; wb_clk_i        ;
3691
;  wb_dat_o[17] ; wb_clk_i   ; 4.999 ; 5.182 ; Fall       ; wb_clk_i        ;
3692
;  wb_dat_o[18] ; wb_clk_i   ; 5.480 ; 5.720 ; Fall       ; wb_clk_i        ;
3693
;  wb_dat_o[19] ; wb_clk_i   ; 4.137 ; 4.195 ; Fall       ; wb_clk_i        ;
3694
;  wb_dat_o[20] ; wb_clk_i   ; 4.594 ; 4.710 ; Fall       ; wb_clk_i        ;
3695
;  wb_dat_o[21] ; wb_clk_i   ; 4.264 ; 4.328 ; Fall       ; wb_clk_i        ;
3696
;  wb_dat_o[22] ; wb_clk_i   ; 5.012 ; 5.116 ; Fall       ; wb_clk_i        ;
3697
;  wb_dat_o[23] ; wb_clk_i   ; 4.779 ; 4.896 ; Fall       ; wb_clk_i        ;
3698
;  wb_dat_o[24] ; wb_clk_i   ; 4.687 ; 4.797 ; Fall       ; wb_clk_i        ;
3699
;  wb_dat_o[25] ; wb_clk_i   ; 5.615 ; 5.861 ; Fall       ; wb_clk_i        ;
3700
;  wb_dat_o[26] ; wb_clk_i   ; 5.137 ; 5.275 ; Fall       ; wb_clk_i        ;
3701
;  wb_dat_o[27] ; wb_clk_i   ; 4.858 ; 5.026 ; Fall       ; wb_clk_i        ;
3702
;  wb_dat_o[28] ; wb_clk_i   ; 5.074 ; 5.195 ; Fall       ; wb_clk_i        ;
3703
;  wb_dat_o[29] ; wb_clk_i   ; 5.083 ; 5.214 ; Fall       ; wb_clk_i        ;
3704
;  wb_dat_o[30] ; wb_clk_i   ; 4.686 ; 4.782 ; Fall       ; wb_clk_i        ;
3705
;  wb_dat_o[31] ; wb_clk_i   ; 4.465 ; 4.581 ; Fall       ; wb_clk_i        ;
3706
; zero_o        ; wb_clk_i   ; 5.858 ; 5.626 ; Fall       ; wb_clk_i        ;
3707
+---------------+------------+-------+-------+------------+-----------------+
3708
 
3709
 
3710
+---------------------------------------------------------------------------+
3711
; Minimum Clock to Output Times                                             ;
3712
+---------------+------------+-------+-------+------------+-----------------+
3713
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
3714
+---------------+------------+-------+-------+------------+-----------------+
3715
; wb_ack_o      ; wb_clk_i   ; 4.193 ; 4.256 ; Rise       ; wb_clk_i        ;
3716
; wb_err_o      ; wb_clk_i   ; 3.858 ; 3.928 ; Rise       ; wb_clk_i        ;
3717
; wb_rty_o      ; wb_clk_i   ; 3.571 ; 3.614 ; Rise       ; wb_clk_i        ;
3718
; one_o         ; wb_clk_i   ; 4.435 ; 4.307 ; Fall       ; wb_clk_i        ;
3719
; wb_dat_o[*]   ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
3720
;  wb_dat_o[0]  ; wb_clk_i   ; 4.385 ; 4.493 ; Fall       ; wb_clk_i        ;
3721
;  wb_dat_o[1]  ; wb_clk_i   ; 4.095 ; 4.148 ; Fall       ; wb_clk_i        ;
3722
;  wb_dat_o[2]  ; wb_clk_i   ; 4.838 ; 4.982 ; Fall       ; wb_clk_i        ;
3723
;  wb_dat_o[3]  ; wb_clk_i   ; 4.143 ; 4.221 ; Fall       ; wb_clk_i        ;
3724
;  wb_dat_o[4]  ; wb_clk_i   ; 4.452 ; 4.521 ; Fall       ; wb_clk_i        ;
3725
;  wb_dat_o[5]  ; wb_clk_i   ; 4.225 ; 4.291 ; Fall       ; wb_clk_i        ;
3726
;  wb_dat_o[6]  ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
3727
;  wb_dat_o[7]  ; wb_clk_i   ; 4.853 ; 4.956 ; Fall       ; wb_clk_i        ;
3728
;  wb_dat_o[8]  ; wb_clk_i   ; 4.301 ; 4.365 ; Fall       ; wb_clk_i        ;
3729
;  wb_dat_o[9]  ; wb_clk_i   ; 5.210 ; 5.426 ; Fall       ; wb_clk_i        ;
3730
;  wb_dat_o[10] ; wb_clk_i   ; 5.383 ; 5.522 ; Fall       ; wb_clk_i        ;
3731
;  wb_dat_o[11] ; wb_clk_i   ; 4.895 ; 5.056 ; Fall       ; wb_clk_i        ;
3732
;  wb_dat_o[12] ; wb_clk_i   ; 4.079 ; 4.120 ; Fall       ; wb_clk_i        ;
3733
;  wb_dat_o[13] ; wb_clk_i   ; 4.093 ; 4.136 ; Fall       ; wb_clk_i        ;
3734
;  wb_dat_o[14] ; wb_clk_i   ; 4.976 ; 5.148 ; Fall       ; wb_clk_i        ;
3735
;  wb_dat_o[15] ; wb_clk_i   ; 4.912 ; 5.088 ; Fall       ; wb_clk_i        ;
3736
;  wb_dat_o[16] ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
3737
;  wb_dat_o[17] ; wb_clk_i   ; 4.826 ; 4.974 ; Fall       ; wb_clk_i        ;
3738
;  wb_dat_o[18] ; wb_clk_i   ; 5.288 ; 5.490 ; Fall       ; wb_clk_i        ;
3739
;  wb_dat_o[19] ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
3740
;  wb_dat_o[20] ; wb_clk_i   ; 4.289 ; 4.366 ; Fall       ; wb_clk_i        ;
3741
;  wb_dat_o[21] ; wb_clk_i   ; 4.117 ; 4.151 ; Fall       ; wb_clk_i        ;
3742
;  wb_dat_o[22] ; wb_clk_i   ; 4.758 ; 4.841 ; Fall       ; wb_clk_i        ;
3743
;  wb_dat_o[23] ; wb_clk_i   ; 4.218 ; 4.272 ; Fall       ; wb_clk_i        ;
3744
;  wb_dat_o[24] ; wb_clk_i   ; 4.232 ; 4.293 ; Fall       ; wb_clk_i        ;
3745
;  wb_dat_o[25] ; wb_clk_i   ; 5.230 ; 5.426 ; Fall       ; wb_clk_i        ;
3746
;  wb_dat_o[26] ; wb_clk_i   ; 4.826 ; 4.922 ; Fall       ; wb_clk_i        ;
3747
;  wb_dat_o[27] ; wb_clk_i   ; 4.578 ; 4.706 ; Fall       ; wb_clk_i        ;
3748
;  wb_dat_o[28] ; wb_clk_i   ; 4.761 ; 4.837 ; Fall       ; wb_clk_i        ;
3749
;  wb_dat_o[29] ; wb_clk_i   ; 4.908 ; 5.004 ; Fall       ; wb_clk_i        ;
3750
;  wb_dat_o[30] ; wb_clk_i   ; 4.527 ; 4.595 ; Fall       ; wb_clk_i        ;
3751
;  wb_dat_o[31] ; wb_clk_i   ; 4.201 ; 4.277 ; Fall       ; wb_clk_i        ;
3752
; zero_o        ; wb_clk_i   ; 5.661 ; 5.440 ; Fall       ; wb_clk_i        ;
3753
+---------------+------------+-------+-------+------------+-----------------+
3754
 
3755
 
3756
+------------------------------------------------------------+
3757
; Propagation Delay                                          ;
3758
+-------------+--------------+-------+-------+-------+-------+
3759
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
3760
+-------------+--------------+-------+-------+-------+-------+
3761
; wb_adr_i[0] ; wb_dat_o[0]  ; 5.357 ; 5.518 ; 6.142 ; 5.936 ;
3762
; wb_adr_i[0] ; wb_dat_o[1]  ; 5.582 ; 5.788 ; 6.356 ; 6.223 ;
3763
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.846 ; 6.102 ; 6.633 ; 6.608 ;
3764
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.722 ; 5.944 ; 6.499 ; 6.377 ;
3765
; wb_adr_i[0] ; wb_dat_o[4]  ; 6.382 ; 6.696 ; 7.266 ; 7.022 ;
3766
; wb_adr_i[0] ; wb_dat_o[5]  ; 6.332 ; 6.453 ; 7.040 ; 6.937 ;
3767
; wb_adr_i[0] ; wb_dat_o[6]  ; 6.448 ; 6.768 ; 7.323 ; 7.102 ;
3768
; wb_adr_i[0] ; wb_dat_o[7]  ; 6.551 ; 6.832 ; 7.423 ; 7.164 ;
3769
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.748 ; 5.845 ; 6.495 ; 6.309 ;
3770
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.708 ; 6.888 ; 7.408 ; 7.379 ;
3771
; wb_adr_i[0] ; wb_dat_o[10] ; 6.543 ; 6.789 ; 7.331 ; 7.207 ;
3772
; wb_adr_i[0] ; wb_dat_o[11] ; 6.183 ; 6.316 ; 6.804 ; 6.863 ;
3773
; wb_adr_i[0] ; wb_dat_o[12] ; 5.194 ; 5.333 ; 5.976 ; 5.754 ;
3774
; wb_adr_i[0] ; wb_dat_o[13] ; 5.204 ; 5.346 ; 5.987 ; 5.765 ;
3775
; wb_adr_i[0] ; wb_dat_o[14] ; 6.125 ; 6.405 ; 6.915 ; 6.820 ;
3776
; wb_adr_i[0] ; wb_dat_o[15] ; 6.201 ; 6.348 ; 6.822 ; 6.895 ;
3777
; wb_adr_i[0] ; wb_dat_o[16] ; 5.716 ; 5.935 ; 6.503 ; 6.353 ;
3778
; wb_adr_i[0] ; wb_dat_o[17] ; 6.114 ; 6.233 ; 6.739 ; 6.779 ;
3779
; wb_adr_i[0] ; wb_dat_o[18] ; 6.575 ; 6.792 ; 7.226 ; 7.300 ;
3780
; wb_adr_i[0] ; wb_dat_o[19] ; 4.998 ; 5.109 ; 5.772 ; 5.537 ;
3781
; wb_adr_i[0] ; wb_dat_o[20] ; 5.412 ; 5.588 ; 6.194 ; 6.009 ;
3782
; wb_adr_i[0] ; wb_dat_o[21] ; 5.384 ; 5.384 ; 6.000 ; 5.931 ;
3783
; wb_adr_i[0] ; wb_dat_o[22] ; 5.746 ; 5.780 ; 6.383 ; 6.311 ;
3784
; wb_adr_i[0] ; wb_dat_o[23] ; 5.337 ; 5.482 ; 6.109 ; 5.911 ;
3785
; wb_adr_i[0] ; wb_dat_o[24] ; 5.339 ; 5.486 ; 6.093 ; 5.922 ;
3786
; wb_adr_i[0] ; wb_dat_o[25] ; 6.393 ; 6.687 ; 7.166 ; 7.115 ;
3787
; wb_adr_i[0] ; wb_dat_o[26] ; 5.829 ; 6.042 ; 6.623 ; 6.463 ;
3788
; wb_adr_i[0] ; wb_dat_o[27] ; 5.573 ; 5.813 ; 6.358 ; 6.239 ;
3789
; wb_adr_i[0] ; wb_dat_o[28] ; 5.759 ; 5.950 ; 6.550 ; 6.373 ;
3790
; wb_adr_i[0] ; wb_dat_o[29] ; 6.058 ; 6.123 ; 6.692 ; 6.690 ;
3791
; wb_adr_i[0] ; wb_dat_o[30] ; 5.986 ; 6.081 ; 6.724 ; 6.538 ;
3792
; wb_adr_i[0] ; wb_dat_o[31] ; 5.523 ; 5.750 ; 6.388 ; 6.089 ;
3793
; wb_adr_i[1] ; wb_dat_o[0]  ; 5.328 ; 5.566 ; 6.233 ; 6.066 ;
3794
; wb_adr_i[1] ; wb_dat_o[1]  ; 5.558 ; 5.836 ; 6.447 ; 6.348 ;
3795
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.832 ; 6.150 ; 6.724 ; 6.699 ;
3796
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.698 ; 5.992 ; 6.590 ; 6.502 ;
3797
; wb_adr_i[1] ; wb_dat_o[4]  ; 6.315 ; 6.744 ; 7.357 ; 7.190 ;
3798
; wb_adr_i[1] ; wb_dat_o[5]  ; 6.232 ; 6.501 ; 7.131 ; 7.093 ;
3799
; wb_adr_i[1] ; wb_dat_o[6]  ; 6.380 ; 6.816 ; 7.414 ; 7.271 ;
3800
; wb_adr_i[1] ; wb_dat_o[7]  ; 6.483 ; 6.880 ; 7.514 ; 7.333 ;
3801
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.692 ; 5.893 ; 6.586 ; 6.490 ;
3802
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.607 ; 6.936 ; 7.499 ; 7.536 ;
3803
; wb_adr_i[1] ; wb_dat_o[10] ; 6.504 ; 6.837 ; 7.422 ; 7.347 ;
3804
; wb_adr_i[1] ; wb_dat_o[11] ; 6.114 ; 6.330 ; 6.895 ; 6.985 ;
3805
; wb_adr_i[1] ; wb_dat_o[12] ; 5.155 ; 5.381 ; 6.067 ; 5.894 ;
3806
; wb_adr_i[1] ; wb_dat_o[13] ; 5.164 ; 5.394 ; 6.078 ; 5.906 ;
3807
; wb_adr_i[1] ; wb_dat_o[14] ; 6.085 ; 6.453 ; 7.006 ; 6.961 ;
3808
; wb_adr_i[1] ; wb_dat_o[15] ; 6.132 ; 6.362 ; 6.913 ; 7.017 ;
3809
; wb_adr_i[1] ; wb_dat_o[16] ; 5.676 ; 5.983 ; 6.594 ; 6.494 ;
3810
; wb_adr_i[1] ; wb_dat_o[17] ; 6.044 ; 6.250 ; 6.830 ; 6.902 ;
3811
; wb_adr_i[1] ; wb_dat_o[18] ; 6.552 ; 6.802 ; 7.317 ; 7.461 ;
3812
; wb_adr_i[1] ; wb_dat_o[19] ; 4.959 ; 5.157 ; 5.863 ; 5.677 ;
3813
; wb_adr_i[1] ; wb_dat_o[20] ; 5.373 ; 5.636 ; 6.285 ; 6.149 ;
3814
; wb_adr_i[1] ; wb_dat_o[21] ; 5.315 ; 5.395 ; 6.091 ; 6.053 ;
3815
; wb_adr_i[1] ; wb_dat_o[22] ; 5.709 ; 5.825 ; 6.474 ; 6.449 ;
3816
; wb_adr_i[1] ; wb_dat_o[23] ; 5.298 ; 5.530 ; 6.200 ; 6.051 ;
3817
; wb_adr_i[1] ; wb_dat_o[24] ; 5.302 ; 5.534 ; 6.184 ; 6.060 ;
3818
; wb_adr_i[1] ; wb_dat_o[25] ; 6.354 ; 6.735 ; 7.257 ; 7.255 ;
3819
; wb_adr_i[1] ; wb_dat_o[26] ; 5.805 ; 6.090 ; 6.714 ; 6.588 ;
3820
; wb_adr_i[1] ; wb_dat_o[27] ; 5.549 ; 5.861 ; 6.449 ; 6.364 ;
3821
; wb_adr_i[1] ; wb_dat_o[28] ; 5.735 ; 5.998 ; 6.641 ; 6.498 ;
3822
; wb_adr_i[1] ; wb_dat_o[29] ; 6.009 ; 6.155 ; 6.783 ; 6.792 ;
3823
; wb_adr_i[1] ; wb_dat_o[30] ; 5.930 ; 6.129 ; 6.815 ; 6.734 ;
3824
; wb_adr_i[1] ; wb_dat_o[31] ; 5.454 ; 5.798 ; 6.479 ; 6.259 ;
3825
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.643 ; 6.477 ; 6.767 ; 7.005 ;
3826
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.857 ; 6.759 ; 6.997 ; 7.275 ;
3827
; wb_adr_i[2] ; wb_dat_o[2]  ; 7.134 ; 7.109 ; 7.271 ; 7.589 ;
3828
; wb_adr_i[2] ; wb_dat_o[3]  ; 7.000 ; 6.913 ; 7.137 ; 7.431 ;
3829
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.767 ; 7.601 ; 7.754 ; 8.183 ;
3830
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.541 ; 7.504 ; 7.671 ; 7.940 ;
3831
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.824 ; 7.682 ; 7.819 ; 8.255 ;
3832
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.924 ; 7.744 ; 7.922 ; 8.319 ;
3833
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.996 ; 6.901 ; 7.131 ; 7.332 ;
3834
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.909 ; 7.947 ; 8.046 ; 8.375 ;
3835
; wb_adr_i[2] ; wb_dat_o[10] ; 7.832 ; 7.758 ; 7.943 ; 8.276 ;
3836
; wb_adr_i[2] ; wb_dat_o[11] ; 7.305 ; 7.396 ; 7.553 ; 7.769 ;
3837
; wb_adr_i[2] ; wb_dat_o[12] ; 6.477 ; 6.305 ; 6.594 ; 6.820 ;
3838
; wb_adr_i[2] ; wb_dat_o[13] ; 6.488 ; 6.317 ; 6.603 ; 6.833 ;
3839
; wb_adr_i[2] ; wb_dat_o[14] ; 7.416 ; 7.372 ; 7.524 ; 7.892 ;
3840
; wb_adr_i[2] ; wb_dat_o[15] ; 7.323 ; 7.428 ; 7.571 ; 7.801 ;
3841
; wb_adr_i[2] ; wb_dat_o[16] ; 7.004 ; 6.905 ; 7.115 ; 7.422 ;
3842
; wb_adr_i[2] ; wb_dat_o[17] ; 7.240 ; 7.313 ; 7.483 ; 7.689 ;
3843
; wb_adr_i[2] ; wb_dat_o[18] ; 7.727 ; 7.872 ; 7.991 ; 8.241 ;
3844
; wb_adr_i[2] ; wb_dat_o[19] ; 6.273 ; 6.088 ; 6.398 ; 6.596 ;
3845
; wb_adr_i[2] ; wb_dat_o[20] ; 6.695 ; 6.560 ; 6.812 ; 7.075 ;
3846
; wb_adr_i[2] ; wb_dat_o[21] ; 6.501 ; 6.464 ; 6.754 ; 6.834 ;
3847
; wb_adr_i[2] ; wb_dat_o[22] ; 6.884 ; 6.860 ; 7.148 ; 7.264 ;
3848
; wb_adr_i[2] ; wb_dat_o[23] ; 6.610 ; 6.462 ; 6.737 ; 6.969 ;
3849
; wb_adr_i[2] ; wb_dat_o[24] ; 6.594 ; 6.471 ; 6.741 ; 6.973 ;
3850
; wb_adr_i[2] ; wb_dat_o[25] ; 7.667 ; 7.666 ; 7.793 ; 8.174 ;
3851
; wb_adr_i[2] ; wb_dat_o[26] ; 7.124 ; 6.999 ; 7.244 ; 7.529 ;
3852
; wb_adr_i[2] ; wb_dat_o[27] ; 6.859 ; 6.775 ; 6.988 ; 7.300 ;
3853
; wb_adr_i[2] ; wb_dat_o[28] ; 7.051 ; 6.909 ; 7.174 ; 7.437 ;
3854
; wb_adr_i[2] ; wb_dat_o[29] ; 7.193 ; 7.203 ; 7.448 ; 7.594 ;
3855
; wb_adr_i[2] ; wb_dat_o[30] ; 7.225 ; 7.145 ; 7.369 ; 7.568 ;
3856
; wb_adr_i[2] ; wb_dat_o[31] ; 6.889 ; 6.670 ; 6.893 ; 7.237 ;
3857
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.551 ; 6.385 ; 6.663 ; 6.901 ;
3858
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.765 ; 6.667 ; 6.893 ; 7.171 ;
3859
; wb_adr_i[3] ; wb_dat_o[2]  ; 7.042 ; 7.017 ; 7.167 ; 7.485 ;
3860
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.908 ; 6.821 ; 7.033 ; 7.327 ;
3861
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.675 ; 7.509 ; 7.650 ; 8.079 ;
3862
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.449 ; 7.412 ; 7.567 ; 7.836 ;
3863
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.732 ; 7.590 ; 7.715 ; 8.151 ;
3864
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.832 ; 7.652 ; 7.818 ; 8.215 ;
3865
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.904 ; 6.809 ; 7.027 ; 7.228 ;
3866
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.817 ; 7.855 ; 7.942 ; 8.271 ;
3867
; wb_adr_i[3] ; wb_dat_o[10] ; 7.740 ; 7.666 ; 7.839 ; 8.172 ;
3868
; wb_adr_i[3] ; wb_dat_o[11] ; 7.213 ; 7.304 ; 7.449 ; 7.665 ;
3869
; wb_adr_i[3] ; wb_dat_o[12] ; 6.385 ; 6.213 ; 6.490 ; 6.716 ;
3870
; wb_adr_i[3] ; wb_dat_o[13] ; 6.396 ; 6.225 ; 6.499 ; 6.729 ;
3871
; wb_adr_i[3] ; wb_dat_o[14] ; 7.324 ; 7.280 ; 7.420 ; 7.788 ;
3872
; wb_adr_i[3] ; wb_dat_o[15] ; 7.231 ; 7.336 ; 7.467 ; 7.697 ;
3873
; wb_adr_i[3] ; wb_dat_o[16] ; 6.912 ; 6.813 ; 7.011 ; 7.318 ;
3874
; wb_adr_i[3] ; wb_dat_o[17] ; 7.148 ; 7.221 ; 7.379 ; 7.585 ;
3875
; wb_adr_i[3] ; wb_dat_o[18] ; 7.635 ; 7.780 ; 7.887 ; 8.137 ;
3876
; wb_adr_i[3] ; wb_dat_o[19] ; 6.181 ; 5.996 ; 6.294 ; 6.492 ;
3877
; wb_adr_i[3] ; wb_dat_o[20] ; 6.603 ; 6.468 ; 6.708 ; 6.971 ;
3878
; wb_adr_i[3] ; wb_dat_o[21] ; 6.409 ; 6.372 ; 6.650 ; 6.730 ;
3879
; wb_adr_i[3] ; wb_dat_o[22] ; 6.792 ; 6.768 ; 7.044 ; 7.160 ;
3880
; wb_adr_i[3] ; wb_dat_o[23] ; 6.518 ; 6.370 ; 6.633 ; 6.865 ;
3881
; wb_adr_i[3] ; wb_dat_o[24] ; 6.502 ; 6.379 ; 6.637 ; 6.869 ;
3882
; wb_adr_i[3] ; wb_dat_o[25] ; 7.575 ; 7.574 ; 7.689 ; 8.070 ;
3883
; wb_adr_i[3] ; wb_dat_o[26] ; 7.032 ; 6.907 ; 7.140 ; 7.425 ;
3884
; wb_adr_i[3] ; wb_dat_o[27] ; 6.767 ; 6.683 ; 6.884 ; 7.196 ;
3885
; wb_adr_i[3] ; wb_dat_o[28] ; 6.959 ; 6.817 ; 7.070 ; 7.333 ;
3886
; wb_adr_i[3] ; wb_dat_o[29] ; 7.101 ; 7.111 ; 7.344 ; 7.490 ;
3887
; wb_adr_i[3] ; wb_dat_o[30] ; 7.133 ; 7.053 ; 7.265 ; 7.464 ;
3888
; wb_adr_i[3] ; wb_dat_o[31] ; 6.797 ; 6.578 ; 6.789 ; 7.133 ;
3889
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.631 ; 6.465 ; 6.769 ; 7.007 ;
3890
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.845 ; 6.747 ; 6.999 ; 7.277 ;
3891
; wb_adr_i[4] ; wb_dat_o[2]  ; 7.122 ; 7.097 ; 7.273 ; 7.591 ;
3892
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.988 ; 6.901 ; 7.139 ; 7.433 ;
3893
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.755 ; 7.589 ; 7.756 ; 8.185 ;
3894
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.529 ; 7.492 ; 7.673 ; 7.942 ;
3895
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.812 ; 7.670 ; 7.821 ; 8.257 ;
3896
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.912 ; 7.732 ; 7.924 ; 8.321 ;
3897
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.984 ; 6.889 ; 7.133 ; 7.334 ;
3898
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.897 ; 7.935 ; 8.048 ; 8.377 ;
3899
; wb_adr_i[4] ; wb_dat_o[10] ; 7.820 ; 7.746 ; 7.945 ; 8.278 ;
3900
; wb_adr_i[4] ; wb_dat_o[11] ; 7.293 ; 7.384 ; 7.555 ; 7.771 ;
3901
; wb_adr_i[4] ; wb_dat_o[12] ; 6.465 ; 6.293 ; 6.596 ; 6.822 ;
3902
; wb_adr_i[4] ; wb_dat_o[13] ; 6.476 ; 6.305 ; 6.605 ; 6.835 ;
3903
; wb_adr_i[4] ; wb_dat_o[14] ; 7.404 ; 7.360 ; 7.526 ; 7.894 ;
3904
; wb_adr_i[4] ; wb_dat_o[15] ; 7.311 ; 7.416 ; 7.573 ; 7.803 ;
3905
; wb_adr_i[4] ; wb_dat_o[16] ; 6.992 ; 6.893 ; 7.117 ; 7.424 ;
3906
; wb_adr_i[4] ; wb_dat_o[17] ; 7.228 ; 7.301 ; 7.485 ; 7.691 ;
3907
; wb_adr_i[4] ; wb_dat_o[18] ; 7.715 ; 7.860 ; 7.993 ; 8.243 ;
3908
; wb_adr_i[4] ; wb_dat_o[19] ; 6.261 ; 6.076 ; 6.400 ; 6.598 ;
3909
; wb_adr_i[4] ; wb_dat_o[20] ; 6.683 ; 6.548 ; 6.814 ; 7.077 ;
3910
; wb_adr_i[4] ; wb_dat_o[21] ; 6.489 ; 6.452 ; 6.756 ; 6.836 ;
3911
; wb_adr_i[4] ; wb_dat_o[22] ; 6.872 ; 6.848 ; 7.150 ; 7.266 ;
3912
; wb_adr_i[4] ; wb_dat_o[23] ; 6.598 ; 6.450 ; 6.739 ; 6.971 ;
3913
; wb_adr_i[4] ; wb_dat_o[24] ; 6.582 ; 6.459 ; 6.743 ; 6.975 ;
3914
; wb_adr_i[4] ; wb_dat_o[25] ; 7.655 ; 7.654 ; 7.795 ; 8.176 ;
3915
; wb_adr_i[4] ; wb_dat_o[26] ; 7.112 ; 6.987 ; 7.246 ; 7.531 ;
3916
; wb_adr_i[4] ; wb_dat_o[27] ; 6.847 ; 6.763 ; 6.990 ; 7.302 ;
3917
; wb_adr_i[4] ; wb_dat_o[28] ; 7.039 ; 6.897 ; 7.176 ; 7.439 ;
3918
; wb_adr_i[4] ; wb_dat_o[29] ; 7.181 ; 7.191 ; 7.450 ; 7.596 ;
3919
; wb_adr_i[4] ; wb_dat_o[30] ; 7.213 ; 7.133 ; 7.371 ; 7.570 ;
3920
; wb_adr_i[4] ; wb_dat_o[31] ; 6.877 ; 6.658 ; 6.895 ; 7.239 ;
3921
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.802 ; 6.636 ; 6.942 ; 7.180 ;
3922
; wb_adr_i[5] ; wb_dat_o[1]  ; 7.016 ; 6.918 ; 7.172 ; 7.450 ;
3923
; wb_adr_i[5] ; wb_dat_o[2]  ; 7.293 ; 7.268 ; 7.446 ; 7.764 ;
3924
; wb_adr_i[5] ; wb_dat_o[3]  ; 7.159 ; 7.072 ; 7.312 ; 7.606 ;
3925
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.926 ; 7.760 ; 7.929 ; 8.358 ;
3926
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.700 ; 7.663 ; 7.846 ; 8.115 ;
3927
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.983 ; 7.841 ; 7.994 ; 8.430 ;
3928
; wb_adr_i[5] ; wb_dat_o[7]  ; 8.083 ; 7.903 ; 8.097 ; 8.494 ;
3929
; wb_adr_i[5] ; wb_dat_o[8]  ; 7.155 ; 7.060 ; 7.306 ; 7.507 ;
3930
; wb_adr_i[5] ; wb_dat_o[9]  ; 8.068 ; 8.106 ; 8.221 ; 8.550 ;
3931
; wb_adr_i[5] ; wb_dat_o[10] ; 7.991 ; 7.917 ; 8.118 ; 8.451 ;
3932
; wb_adr_i[5] ; wb_dat_o[11] ; 7.464 ; 7.555 ; 7.728 ; 7.944 ;
3933
; wb_adr_i[5] ; wb_dat_o[12] ; 6.636 ; 6.464 ; 6.769 ; 6.995 ;
3934
; wb_adr_i[5] ; wb_dat_o[13] ; 6.647 ; 6.476 ; 6.778 ; 7.008 ;
3935
; wb_adr_i[5] ; wb_dat_o[14] ; 7.575 ; 7.531 ; 7.699 ; 8.067 ;
3936
; wb_adr_i[5] ; wb_dat_o[15] ; 7.482 ; 7.587 ; 7.746 ; 7.976 ;
3937
; wb_adr_i[5] ; wb_dat_o[16] ; 7.163 ; 7.064 ; 7.290 ; 7.597 ;
3938
; wb_adr_i[5] ; wb_dat_o[17] ; 7.399 ; 7.472 ; 7.658 ; 7.864 ;
3939
; wb_adr_i[5] ; wb_dat_o[18] ; 7.886 ; 8.031 ; 8.166 ; 8.416 ;
3940
; wb_adr_i[5] ; wb_dat_o[19] ; 6.432 ; 6.247 ; 6.573 ; 6.771 ;
3941
; wb_adr_i[5] ; wb_dat_o[20] ; 6.854 ; 6.719 ; 6.987 ; 7.250 ;
3942
; wb_adr_i[5] ; wb_dat_o[21] ; 6.660 ; 6.623 ; 6.929 ; 7.009 ;
3943
; wb_adr_i[5] ; wb_dat_o[22] ; 7.043 ; 7.019 ; 7.323 ; 7.439 ;
3944
; wb_adr_i[5] ; wb_dat_o[23] ; 6.769 ; 6.621 ; 6.912 ; 7.144 ;
3945
; wb_adr_i[5] ; wb_dat_o[24] ; 6.753 ; 6.630 ; 6.916 ; 7.148 ;
3946
; wb_adr_i[5] ; wb_dat_o[25] ; 7.826 ; 7.825 ; 7.968 ; 8.349 ;
3947
; wb_adr_i[5] ; wb_dat_o[26] ; 7.283 ; 7.158 ; 7.419 ; 7.704 ;
3948
; wb_adr_i[5] ; wb_dat_o[27] ; 7.018 ; 6.934 ; 7.163 ; 7.475 ;
3949
; wb_adr_i[5] ; wb_dat_o[28] ; 7.210 ; 7.068 ; 7.349 ; 7.612 ;
3950
; wb_adr_i[5] ; wb_dat_o[29] ; 7.352 ; 7.362 ; 7.623 ; 7.769 ;
3951
; wb_adr_i[5] ; wb_dat_o[30] ; 7.384 ; 7.304 ; 7.544 ; 7.743 ;
3952
; wb_adr_i[5] ; wb_dat_o[31] ; 7.048 ; 6.829 ; 7.068 ; 7.412 ;
3953
; wb_cyc_i    ; wb_dat_o[0]  ; 4.714 ; 4.674 ; 5.405 ; 5.365 ;
3954
; wb_cyc_i    ; wb_dat_o[1]  ; 4.569 ; 4.529 ; 5.241 ; 5.201 ;
3955
; wb_cyc_i    ; wb_dat_o[2]  ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
3956
; wb_cyc_i    ; wb_dat_o[3]  ; 4.819 ; 4.779 ; 5.522 ; 5.482 ;
3957
; wb_cyc_i    ; wb_dat_o[4]  ; 5.716 ; 5.676 ; 6.574 ; 6.534 ;
3958
; wb_cyc_i    ; wb_dat_o[5]  ; 5.658 ; 5.618 ; 6.494 ; 6.454 ;
3959
; wb_cyc_i    ; wb_dat_o[6]  ; 5.716 ; 5.676 ; 6.574 ; 6.534 ;
3960
; wb_cyc_i    ; wb_dat_o[7]  ; 6.050 ; 5.968 ; 6.936 ; 6.854 ;
3961
; wb_cyc_i    ; wb_dat_o[8]  ; 4.952 ; 4.912 ; 5.670 ; 5.630 ;
3962
; wb_cyc_i    ; wb_dat_o[9]  ; 5.706 ; 5.666 ; 6.531 ; 6.491 ;
3963
; wb_cyc_i    ; wb_dat_o[10] ; 5.751 ; 5.669 ; 6.602 ; 6.520 ;
3964
; wb_cyc_i    ; wb_dat_o[11] ; 5.610 ; 5.570 ; 6.425 ; 6.385 ;
3965
; wb_cyc_i    ; wb_dat_o[12] ; 4.956 ; 4.916 ; 5.676 ; 5.636 ;
3966
; wb_cyc_i    ; wb_dat_o[13] ; 4.952 ; 4.912 ; 5.670 ; 5.630 ;
3967
; wb_cyc_i    ; wb_dat_o[14] ; 5.706 ; 5.666 ; 6.531 ; 6.491 ;
3968
; wb_cyc_i    ; wb_dat_o[15] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
3969
; wb_cyc_i    ; wb_dat_o[16] ; 5.524 ; 5.479 ; 6.334 ; 6.289 ;
3970
; wb_cyc_i    ; wb_dat_o[17] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
3971
; wb_cyc_i    ; wb_dat_o[18] ; 5.706 ; 5.666 ; 6.532 ; 6.492 ;
3972
; wb_cyc_i    ; wb_dat_o[19] ; 4.714 ; 4.674 ; 5.405 ; 5.365 ;
3973
; wb_cyc_i    ; wb_dat_o[20] ; 5.081 ; 5.041 ; 5.822 ; 5.782 ;
3974
; wb_cyc_i    ; wb_dat_o[21] ; 4.916 ; 4.871 ; 5.644 ; 5.599 ;
3975
; wb_cyc_i    ; wb_dat_o[22] ; 5.551 ; 5.507 ; 6.279 ; 6.235 ;
3976
; wb_cyc_i    ; wb_dat_o[23] ; 4.956 ; 4.916 ; 5.676 ; 5.636 ;
3977
; wb_cyc_i    ; wb_dat_o[24] ; 5.081 ; 5.041 ; 5.822 ; 5.782 ;
3978
; wb_cyc_i    ; wb_dat_o[25] ; 5.706 ; 5.666 ; 6.532 ; 6.492 ;
3979
; wb_cyc_i    ; wb_dat_o[26] ; 5.909 ; 5.827 ; 6.781 ; 6.699 ;
3980
; wb_cyc_i    ; wb_dat_o[27] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
3981
; wb_cyc_i    ; wb_dat_o[28] ; 6.050 ; 5.968 ; 6.936 ; 6.854 ;
3982
; wb_cyc_i    ; wb_dat_o[29] ; 5.909 ; 5.827 ; 6.781 ; 6.699 ;
3983
; wb_cyc_i    ; wb_dat_o[30] ; 5.897 ; 5.815 ; 6.766 ; 6.684 ;
3984
; wb_cyc_i    ; wb_dat_o[31] ; 5.103 ; 5.063 ; 5.848 ; 5.808 ;
3985
; wb_stb_i    ; wb_dat_o[0]  ; 4.864 ; 4.824 ; 5.579 ; 5.539 ;
3986
; wb_stb_i    ; wb_dat_o[1]  ; 4.719 ; 4.679 ; 5.415 ; 5.375 ;
3987
; wb_stb_i    ; wb_dat_o[2]  ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
3988
; wb_stb_i    ; wb_dat_o[3]  ; 4.969 ; 4.929 ; 5.696 ; 5.656 ;
3989
; wb_stb_i    ; wb_dat_o[4]  ; 5.866 ; 5.826 ; 6.748 ; 6.708 ;
3990
; wb_stb_i    ; wb_dat_o[5]  ; 5.808 ; 5.768 ; 6.668 ; 6.628 ;
3991
; wb_stb_i    ; wb_dat_o[6]  ; 5.866 ; 5.826 ; 6.748 ; 6.708 ;
3992
; wb_stb_i    ; wb_dat_o[7]  ; 6.200 ; 6.118 ; 7.110 ; 7.028 ;
3993
; wb_stb_i    ; wb_dat_o[8]  ; 5.102 ; 5.062 ; 5.844 ; 5.804 ;
3994
; wb_stb_i    ; wb_dat_o[9]  ; 5.856 ; 5.816 ; 6.705 ; 6.665 ;
3995
; wb_stb_i    ; wb_dat_o[10] ; 5.901 ; 5.819 ; 6.776 ; 6.694 ;
3996
; wb_stb_i    ; wb_dat_o[11] ; 5.760 ; 5.720 ; 6.599 ; 6.559 ;
3997
; wb_stb_i    ; wb_dat_o[12] ; 5.106 ; 5.066 ; 5.850 ; 5.810 ;
3998
; wb_stb_i    ; wb_dat_o[13] ; 5.102 ; 5.062 ; 5.844 ; 5.804 ;
3999
; wb_stb_i    ; wb_dat_o[14] ; 5.856 ; 5.816 ; 6.705 ; 6.665 ;
4000
; wb_stb_i    ; wb_dat_o[15] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
4001
; wb_stb_i    ; wb_dat_o[16] ; 5.674 ; 5.629 ; 6.508 ; 6.463 ;
4002
; wb_stb_i    ; wb_dat_o[17] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
4003
; wb_stb_i    ; wb_dat_o[18] ; 5.856 ; 5.816 ; 6.706 ; 6.666 ;
4004
; wb_stb_i    ; wb_dat_o[19] ; 4.864 ; 4.824 ; 5.579 ; 5.539 ;
4005
; wb_stb_i    ; wb_dat_o[20] ; 5.231 ; 5.191 ; 5.996 ; 5.956 ;
4006
; wb_stb_i    ; wb_dat_o[21] ; 5.066 ; 5.021 ; 5.818 ; 5.773 ;
4007
; wb_stb_i    ; wb_dat_o[22] ; 5.701 ; 5.657 ; 6.453 ; 6.409 ;
4008
; wb_stb_i    ; wb_dat_o[23] ; 5.106 ; 5.066 ; 5.850 ; 5.810 ;
4009
; wb_stb_i    ; wb_dat_o[24] ; 5.231 ; 5.191 ; 5.996 ; 5.956 ;
4010
; wb_stb_i    ; wb_dat_o[25] ; 5.856 ; 5.816 ; 6.706 ; 6.666 ;
4011
; wb_stb_i    ; wb_dat_o[26] ; 6.059 ; 5.977 ; 6.955 ; 6.873 ;
4012
; wb_stb_i    ; wb_dat_o[27] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
4013
; wb_stb_i    ; wb_dat_o[28] ; 6.200 ; 6.118 ; 7.110 ; 7.028 ;
4014
; wb_stb_i    ; wb_dat_o[29] ; 6.059 ; 5.977 ; 6.955 ; 6.873 ;
4015
; wb_stb_i    ; wb_dat_o[30] ; 6.047 ; 5.965 ; 6.940 ; 6.858 ;
4016
; wb_stb_i    ; wb_dat_o[31] ; 5.253 ; 5.213 ; 6.022 ; 5.982 ;
4017
; wb_we_i     ; wb_dat_o[0]  ; 4.689 ; 4.649 ; 5.189 ; 5.149 ;
4018
; wb_we_i     ; wb_dat_o[1]  ; 4.525 ; 4.485 ; 5.044 ; 5.004 ;
4019
; wb_we_i     ; wb_dat_o[2]  ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
4020
; wb_we_i     ; wb_dat_o[3]  ; 4.806 ; 4.766 ; 5.294 ; 5.254 ;
4021
; wb_we_i     ; wb_dat_o[4]  ; 5.858 ; 5.818 ; 6.191 ; 6.151 ;
4022
; wb_we_i     ; wb_dat_o[5]  ; 5.778 ; 5.738 ; 6.133 ; 6.093 ;
4023
; wb_we_i     ; wb_dat_o[6]  ; 5.858 ; 5.818 ; 6.191 ; 6.151 ;
4024
; wb_we_i     ; wb_dat_o[7]  ; 6.220 ; 6.138 ; 6.525 ; 6.443 ;
4025
; wb_we_i     ; wb_dat_o[8]  ; 4.954 ; 4.914 ; 5.427 ; 5.387 ;
4026
; wb_we_i     ; wb_dat_o[9]  ; 5.815 ; 5.775 ; 6.181 ; 6.141 ;
4027
; wb_we_i     ; wb_dat_o[10] ; 5.886 ; 5.804 ; 6.226 ; 6.144 ;
4028
; wb_we_i     ; wb_dat_o[11] ; 5.709 ; 5.669 ; 6.085 ; 6.045 ;
4029
; wb_we_i     ; wb_dat_o[12] ; 4.960 ; 4.920 ; 5.431 ; 5.391 ;
4030
; wb_we_i     ; wb_dat_o[13] ; 4.954 ; 4.914 ; 5.427 ; 5.387 ;
4031
; wb_we_i     ; wb_dat_o[14] ; 5.815 ; 5.775 ; 6.181 ; 6.141 ;
4032
; wb_we_i     ; wb_dat_o[15] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
4033
; wb_we_i     ; wb_dat_o[16] ; 5.618 ; 5.573 ; 5.999 ; 5.954 ;
4034
; wb_we_i     ; wb_dat_o[17] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
4035
; wb_we_i     ; wb_dat_o[18] ; 5.816 ; 5.776 ; 6.181 ; 6.141 ;
4036
; wb_we_i     ; wb_dat_o[19] ; 4.689 ; 4.649 ; 5.189 ; 5.149 ;
4037
; wb_we_i     ; wb_dat_o[20] ; 5.106 ; 5.066 ; 5.556 ; 5.516 ;
4038
; wb_we_i     ; wb_dat_o[21] ; 4.928 ; 4.883 ; 5.391 ; 5.346 ;
4039
; wb_we_i     ; wb_dat_o[22] ; 5.563 ; 5.519 ; 6.026 ; 5.982 ;
4040
; wb_we_i     ; wb_dat_o[23] ; 4.960 ; 4.920 ; 5.431 ; 5.391 ;
4041
; wb_we_i     ; wb_dat_o[24] ; 5.106 ; 5.066 ; 5.556 ; 5.516 ;
4042
; wb_we_i     ; wb_dat_o[25] ; 5.816 ; 5.776 ; 6.181 ; 6.141 ;
4043
; wb_we_i     ; wb_dat_o[26] ; 6.065 ; 5.983 ; 6.384 ; 6.302 ;
4044
; wb_we_i     ; wb_dat_o[27] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
4045
; wb_we_i     ; wb_dat_o[28] ; 6.220 ; 6.138 ; 6.525 ; 6.443 ;
4046
; wb_we_i     ; wb_dat_o[29] ; 6.065 ; 5.983 ; 6.384 ; 6.302 ;
4047
; wb_we_i     ; wb_dat_o[30] ; 6.050 ; 5.968 ; 6.372 ; 6.290 ;
4048
; wb_we_i     ; wb_dat_o[31] ; 5.132 ; 5.092 ; 5.578 ; 5.538 ;
4049
+-------------+--------------+-------+-------+-------+-------+
4050
 
4051
 
4052
+------------------------------------------------------------+
4053
; Minimum Propagation Delay                                  ;
4054
+-------------+--------------+-------+-------+-------+-------+
4055
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
4056
+-------------+--------------+-------+-------+-------+-------+
4057
; wb_adr_i[0] ; wb_dat_o[0]  ; 4.925 ; 5.192 ; 5.681 ; 5.606 ;
4058
; wb_adr_i[0] ; wb_dat_o[1]  ; 4.817 ; 5.417 ; 5.874 ; 5.484 ;
4059
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.566 ; 5.753 ; 6.154 ; 6.294 ;
4060
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.185 ; 5.560 ; 6.008 ; 5.886 ;
4061
; wb_adr_i[0] ; wb_dat_o[4]  ; 5.518 ; 6.271 ; 6.630 ; 6.247 ;
4062
; wb_adr_i[0] ; wb_dat_o[5]  ; 5.045 ; 6.130 ; 6.520 ; 5.732 ;
4063
; wb_adr_i[0] ; wb_dat_o[6]  ; 5.401 ; 6.304 ; 6.663 ; 6.137 ;
4064
; wb_adr_i[0] ; wb_dat_o[7]  ; 5.888 ; 6.362 ; 6.757 ; 6.634 ;
4065
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.329 ; 5.594 ; 6.030 ; 6.069 ;
4066
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.450 ; 6.601 ; 6.910 ; 7.111 ;
4067
; wb_adr_i[0] ; wb_dat_o[10] ; 6.292 ; 6.420 ; 6.811 ; 6.946 ;
4068
; wb_adr_i[0] ; wb_dat_o[11] ; 5.946 ; 6.030 ; 6.437 ; 6.616 ;
4069
; wb_adr_i[0] ; wb_dat_o[12] ; 4.995 ; 5.025 ; 5.514 ; 5.551 ;
4070
; wb_adr_i[0] ; wb_dat_o[13] ; 5.004 ; 5.036 ; 5.523 ; 5.562 ;
4071
; wb_adr_i[0] ; wb_dat_o[14] ; 5.887 ; 6.048 ; 6.406 ; 6.574 ;
4072
; wb_adr_i[0] ; wb_dat_o[15] ; 5.963 ; 6.061 ; 6.454 ; 6.648 ;
4073
; wb_adr_i[0] ; wb_dat_o[16] ; 5.493 ; 5.598 ; 6.012 ; 6.124 ;
4074
; wb_adr_i[0] ; wb_dat_o[17] ; 5.880 ; 5.953 ; 6.370 ; 6.536 ;
4075
; wb_adr_i[0] ; wb_dat_o[18] ; 6.322 ; 6.466 ; 6.857 ; 7.036 ;
4076
; wb_adr_i[0] ; wb_dat_o[19] ; 4.808 ; 4.817 ; 5.327 ; 5.343 ;
4077
; wb_adr_i[0] ; wb_dat_o[20] ; 5.204 ; 5.270 ; 5.724 ; 5.797 ;
4078
; wb_adr_i[0] ; wb_dat_o[21] ; 5.176 ; 5.129 ; 5.667 ; 5.719 ;
4079
; wb_adr_i[0] ; wb_dat_o[22] ; 5.547 ; 5.580 ; 6.069 ; 6.109 ;
4080
; wb_adr_i[0] ; wb_dat_o[23] ; 5.133 ; 5.176 ; 5.652 ; 5.702 ;
4081
; wb_adr_i[0] ; wb_dat_o[24] ; 5.134 ; 5.184 ; 5.656 ; 5.713 ;
4082
; wb_adr_i[0] ; wb_dat_o[25] ; 6.147 ; 6.332 ; 6.666 ; 6.858 ;
4083
; wb_adr_i[0] ; wb_dat_o[26] ; 5.607 ; 5.692 ; 6.141 ; 6.233 ;
4084
; wb_adr_i[0] ; wb_dat_o[27] ; 5.358 ; 5.475 ; 5.892 ; 6.016 ;
4085
; wb_adr_i[0] ; wb_dat_o[28] ; 5.540 ; 5.605 ; 6.074 ; 6.146 ;
4086
; wb_adr_i[0] ; wb_dat_o[29] ; 5.827 ; 5.863 ; 6.337 ; 6.451 ;
4087
; wb_adr_i[0] ; wb_dat_o[30] ; 5.759 ; 5.822 ; 6.262 ; 6.305 ;
4088
; wb_adr_i[0] ; wb_dat_o[31] ; 5.311 ; 5.376 ; 5.801 ; 5.873 ;
4089
; wb_adr_i[1] ; wb_dat_o[0]  ; 4.978 ; 5.189 ; 5.828 ; 5.710 ;
4090
; wb_adr_i[1] ; wb_dat_o[1]  ; 4.870 ; 5.419 ; 6.016 ; 5.588 ;
4091
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.615 ; 5.755 ; 6.296 ; 6.429 ;
4092
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.238 ; 5.562 ; 6.150 ; 5.990 ;
4093
; wb_adr_i[1] ; wb_dat_o[4]  ; 5.571 ; 6.232 ; 6.813 ; 6.351 ;
4094
; wb_adr_i[1] ; wb_dat_o[5]  ; 5.098 ; 6.102 ; 6.735 ; 5.836 ;
4095
; wb_adr_i[1] ; wb_dat_o[6]  ; 5.454 ; 6.263 ; 6.848 ; 6.241 ;
4096
; wb_adr_i[1] ; wb_dat_o[7]  ; 5.941 ; 6.322 ; 6.941 ; 6.738 ;
4097
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.382 ; 5.530 ; 6.201 ; 6.173 ;
4098
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.371 ; 6.572 ; 7.126 ; 7.277 ;
4099
; wb_adr_i[1] ; wb_dat_o[10] ; 6.272 ; 6.407 ; 6.968 ; 7.096 ;
4100
; wb_adr_i[1] ; wb_dat_o[11] ; 5.898 ; 6.077 ; 6.622 ; 6.748 ;
4101
; wb_adr_i[1] ; wb_dat_o[12] ; 4.975 ; 5.012 ; 5.671 ; 5.701 ;
4102
; wb_adr_i[1] ; wb_dat_o[13] ; 4.984 ; 5.023 ; 5.680 ; 5.712 ;
4103
; wb_adr_i[1] ; wb_dat_o[14] ; 5.867 ; 6.035 ; 6.563 ; 6.724 ;
4104
; wb_adr_i[1] ; wb_dat_o[15] ; 5.915 ; 6.109 ; 6.639 ; 6.780 ;
4105
; wb_adr_i[1] ; wb_dat_o[16] ; 5.473 ; 5.585 ; 6.169 ; 6.274 ;
4106
; wb_adr_i[1] ; wb_dat_o[17] ; 5.831 ; 5.997 ; 6.556 ; 6.669 ;
4107
; wb_adr_i[1] ; wb_dat_o[18] ; 6.318 ; 6.497 ; 6.998 ; 7.206 ;
4108
; wb_adr_i[1] ; wb_dat_o[19] ; 4.788 ; 4.804 ; 5.484 ; 5.493 ;
4109
; wb_adr_i[1] ; wb_dat_o[20] ; 5.185 ; 5.258 ; 5.880 ; 5.946 ;
4110
; wb_adr_i[1] ; wb_dat_o[21] ; 5.128 ; 5.180 ; 5.852 ; 5.851 ;
4111
; wb_adr_i[1] ; wb_dat_o[22] ; 5.530 ; 5.570 ; 6.223 ; 6.256 ;
4112
; wb_adr_i[1] ; wb_dat_o[23] ; 5.113 ; 5.163 ; 5.809 ; 5.852 ;
4113
; wb_adr_i[1] ; wb_dat_o[24] ; 5.117 ; 5.174 ; 5.810 ; 5.860 ;
4114
; wb_adr_i[1] ; wb_dat_o[25] ; 6.127 ; 6.319 ; 6.823 ; 7.008 ;
4115
; wb_adr_i[1] ; wb_dat_o[26] ; 5.602 ; 5.694 ; 6.283 ; 6.368 ;
4116
; wb_adr_i[1] ; wb_dat_o[27] ; 5.353 ; 5.477 ; 6.034 ; 6.151 ;
4117
; wb_adr_i[1] ; wb_dat_o[28] ; 5.535 ; 5.607 ; 6.216 ; 6.281 ;
4118
; wb_adr_i[1] ; wb_dat_o[29] ; 5.798 ; 5.912 ; 6.503 ; 6.564 ;
4119
; wb_adr_i[1] ; wb_dat_o[30] ; 5.723 ; 5.766 ; 6.435 ; 6.509 ;
4120
; wb_adr_i[1] ; wb_dat_o[31] ; 5.262 ; 5.334 ; 5.987 ; 6.052 ;
4121
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.208 ; 6.089 ; 6.375 ; 6.586 ;
4122
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.396 ; 5.967 ; 6.267 ; 6.816 ;
4123
; wb_adr_i[2] ; wb_dat_o[2]  ; 6.676 ; 6.809 ; 7.012 ; 7.152 ;
4124
; wb_adr_i[2] ; wb_dat_o[3]  ; 6.530 ; 6.369 ; 6.635 ; 6.959 ;
4125
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.193 ; 6.730 ; 6.968 ; 7.629 ;
4126
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.115 ; 6.215 ; 6.495 ; 7.499 ;
4127
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.228 ; 6.620 ; 6.851 ; 7.660 ;
4128
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.321 ; 7.117 ; 7.338 ; 7.719 ;
4129
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.581 ; 6.552 ; 6.779 ; 6.927 ;
4130
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.506 ; 7.657 ; 7.768 ; 7.969 ;
4131
; wb_adr_i[2] ; wb_dat_o[10] ; 7.348 ; 7.476 ; 7.669 ; 7.804 ;
4132
; wb_adr_i[2] ; wb_dat_o[11] ; 7.002 ; 7.128 ; 7.295 ; 7.474 ;
4133
; wb_adr_i[2] ; wb_dat_o[12] ; 6.051 ; 6.081 ; 6.372 ; 6.409 ;
4134
; wb_adr_i[2] ; wb_dat_o[13] ; 6.060 ; 6.092 ; 6.381 ; 6.420 ;
4135
; wb_adr_i[2] ; wb_dat_o[14] ; 6.943 ; 7.104 ; 7.264 ; 7.432 ;
4136
; wb_adr_i[2] ; wb_dat_o[15] ; 7.019 ; 7.160 ; 7.312 ; 7.506 ;
4137
; wb_adr_i[2] ; wb_dat_o[16] ; 6.549 ; 6.654 ; 6.870 ; 6.982 ;
4138
; wb_adr_i[2] ; wb_dat_o[17] ; 6.936 ; 7.049 ; 7.228 ; 7.394 ;
4139
; wb_adr_i[2] ; wb_dat_o[18] ; 7.378 ; 7.586 ; 7.715 ; 7.894 ;
4140
; wb_adr_i[2] ; wb_dat_o[19] ; 5.864 ; 5.873 ; 6.185 ; 6.201 ;
4141
; wb_adr_i[2] ; wb_dat_o[20] ; 6.260 ; 6.326 ; 6.582 ; 6.655 ;
4142
; wb_adr_i[2] ; wb_dat_o[21] ; 6.232 ; 6.231 ; 6.525 ; 6.577 ;
4143
; wb_adr_i[2] ; wb_dat_o[22] ; 6.603 ; 6.636 ; 6.927 ; 6.967 ;
4144
; wb_adr_i[2] ; wb_dat_o[23] ; 6.189 ; 6.232 ; 6.510 ; 6.560 ;
4145
; wb_adr_i[2] ; wb_dat_o[24] ; 6.190 ; 6.240 ; 6.514 ; 6.571 ;
4146
; wb_adr_i[2] ; wb_dat_o[25] ; 7.203 ; 7.388 ; 7.524 ; 7.716 ;
4147
; wb_adr_i[2] ; wb_dat_o[26] ; 6.663 ; 6.748 ; 6.999 ; 7.091 ;
4148
; wb_adr_i[2] ; wb_dat_o[27] ; 6.414 ; 6.531 ; 6.750 ; 6.874 ;
4149
; wb_adr_i[2] ; wb_dat_o[28] ; 6.596 ; 6.661 ; 6.932 ; 7.004 ;
4150
; wb_adr_i[2] ; wb_dat_o[29] ; 6.883 ; 6.944 ; 7.195 ; 7.309 ;
4151
; wb_adr_i[2] ; wb_dat_o[30] ; 6.815 ; 6.889 ; 7.120 ; 7.163 ;
4152
; wb_adr_i[2] ; wb_dat_o[31] ; 6.367 ; 6.432 ; 6.659 ; 6.731 ;
4153
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.119 ; 6.000 ; 6.273 ; 6.484 ;
4154
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.307 ; 5.878 ; 6.165 ; 6.714 ;
4155
; wb_adr_i[3] ; wb_dat_o[2]  ; 6.587 ; 6.720 ; 6.910 ; 7.050 ;
4156
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.441 ; 6.280 ; 6.533 ; 6.857 ;
4157
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.104 ; 6.641 ; 6.866 ; 7.527 ;
4158
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.026 ; 6.126 ; 6.393 ; 7.397 ;
4159
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.139 ; 6.531 ; 6.749 ; 7.558 ;
4160
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.232 ; 7.028 ; 7.236 ; 7.617 ;
4161
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.492 ; 6.463 ; 6.677 ; 6.825 ;
4162
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.417 ; 7.568 ; 7.666 ; 7.867 ;
4163
; wb_adr_i[3] ; wb_dat_o[10] ; 7.259 ; 7.387 ; 7.567 ; 7.702 ;
4164
; wb_adr_i[3] ; wb_dat_o[11] ; 6.913 ; 7.039 ; 7.193 ; 7.372 ;
4165
; wb_adr_i[3] ; wb_dat_o[12] ; 5.962 ; 5.992 ; 6.270 ; 6.307 ;
4166
; wb_adr_i[3] ; wb_dat_o[13] ; 5.971 ; 6.003 ; 6.279 ; 6.318 ;
4167
; wb_adr_i[3] ; wb_dat_o[14] ; 6.854 ; 7.015 ; 7.162 ; 7.330 ;
4168
; wb_adr_i[3] ; wb_dat_o[15] ; 6.930 ; 7.071 ; 7.210 ; 7.404 ;
4169
; wb_adr_i[3] ; wb_dat_o[16] ; 6.460 ; 6.565 ; 6.768 ; 6.880 ;
4170
; wb_adr_i[3] ; wb_dat_o[17] ; 6.847 ; 6.960 ; 7.126 ; 7.292 ;
4171
; wb_adr_i[3] ; wb_dat_o[18] ; 7.289 ; 7.497 ; 7.613 ; 7.792 ;
4172
; wb_adr_i[3] ; wb_dat_o[19] ; 5.775 ; 5.784 ; 6.083 ; 6.099 ;
4173
; wb_adr_i[3] ; wb_dat_o[20] ; 6.171 ; 6.237 ; 6.480 ; 6.553 ;
4174
; wb_adr_i[3] ; wb_dat_o[21] ; 6.143 ; 6.142 ; 6.423 ; 6.475 ;
4175
; wb_adr_i[3] ; wb_dat_o[22] ; 6.514 ; 6.547 ; 6.825 ; 6.865 ;
4176
; wb_adr_i[3] ; wb_dat_o[23] ; 6.100 ; 6.143 ; 6.408 ; 6.458 ;
4177
; wb_adr_i[3] ; wb_dat_o[24] ; 6.101 ; 6.151 ; 6.412 ; 6.469 ;
4178
; wb_adr_i[3] ; wb_dat_o[25] ; 7.114 ; 7.299 ; 7.422 ; 7.614 ;
4179
; wb_adr_i[3] ; wb_dat_o[26] ; 6.574 ; 6.659 ; 6.897 ; 6.989 ;
4180
; wb_adr_i[3] ; wb_dat_o[27] ; 6.325 ; 6.442 ; 6.648 ; 6.772 ;
4181
; wb_adr_i[3] ; wb_dat_o[28] ; 6.507 ; 6.572 ; 6.830 ; 6.902 ;
4182
; wb_adr_i[3] ; wb_dat_o[29] ; 6.794 ; 6.855 ; 7.093 ; 7.207 ;
4183
; wb_adr_i[3] ; wb_dat_o[30] ; 6.726 ; 6.800 ; 7.018 ; 7.061 ;
4184
; wb_adr_i[3] ; wb_dat_o[31] ; 6.278 ; 6.343 ; 6.557 ; 6.629 ;
4185
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.195 ; 6.076 ; 6.375 ; 6.586 ;
4186
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.383 ; 5.954 ; 6.267 ; 6.816 ;
4187
; wb_adr_i[4] ; wb_dat_o[2]  ; 6.663 ; 6.796 ; 7.012 ; 7.152 ;
4188
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.517 ; 6.356 ; 6.635 ; 6.959 ;
4189
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.180 ; 6.717 ; 6.968 ; 7.629 ;
4190
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.102 ; 6.202 ; 6.495 ; 7.499 ;
4191
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.215 ; 6.607 ; 6.851 ; 7.660 ;
4192
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.308 ; 7.104 ; 7.338 ; 7.719 ;
4193
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.568 ; 6.539 ; 6.779 ; 6.927 ;
4194
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.493 ; 7.644 ; 7.768 ; 7.969 ;
4195
; wb_adr_i[4] ; wb_dat_o[10] ; 7.335 ; 7.463 ; 7.669 ; 7.804 ;
4196
; wb_adr_i[4] ; wb_dat_o[11] ; 6.989 ; 7.115 ; 7.295 ; 7.474 ;
4197
; wb_adr_i[4] ; wb_dat_o[12] ; 6.038 ; 6.068 ; 6.372 ; 6.409 ;
4198
; wb_adr_i[4] ; wb_dat_o[13] ; 6.047 ; 6.079 ; 6.381 ; 6.420 ;
4199
; wb_adr_i[4] ; wb_dat_o[14] ; 6.930 ; 7.091 ; 7.264 ; 7.432 ;
4200
; wb_adr_i[4] ; wb_dat_o[15] ; 7.006 ; 7.147 ; 7.312 ; 7.506 ;
4201
; wb_adr_i[4] ; wb_dat_o[16] ; 6.536 ; 6.641 ; 6.870 ; 6.982 ;
4202
; wb_adr_i[4] ; wb_dat_o[17] ; 6.923 ; 7.036 ; 7.228 ; 7.394 ;
4203
; wb_adr_i[4] ; wb_dat_o[18] ; 7.365 ; 7.573 ; 7.715 ; 7.894 ;
4204
; wb_adr_i[4] ; wb_dat_o[19] ; 5.851 ; 5.860 ; 6.185 ; 6.201 ;
4205
; wb_adr_i[4] ; wb_dat_o[20] ; 6.247 ; 6.313 ; 6.582 ; 6.655 ;
4206
; wb_adr_i[4] ; wb_dat_o[21] ; 6.219 ; 6.218 ; 6.525 ; 6.577 ;
4207
; wb_adr_i[4] ; wb_dat_o[22] ; 6.590 ; 6.623 ; 6.927 ; 6.967 ;
4208
; wb_adr_i[4] ; wb_dat_o[23] ; 6.176 ; 6.219 ; 6.510 ; 6.560 ;
4209
; wb_adr_i[4] ; wb_dat_o[24] ; 6.177 ; 6.227 ; 6.514 ; 6.571 ;
4210
; wb_adr_i[4] ; wb_dat_o[25] ; 7.190 ; 7.375 ; 7.524 ; 7.716 ;
4211
; wb_adr_i[4] ; wb_dat_o[26] ; 6.650 ; 6.735 ; 6.999 ; 7.091 ;
4212
; wb_adr_i[4] ; wb_dat_o[27] ; 6.401 ; 6.518 ; 6.750 ; 6.874 ;
4213
; wb_adr_i[4] ; wb_dat_o[28] ; 6.583 ; 6.648 ; 6.932 ; 7.004 ;
4214
; wb_adr_i[4] ; wb_dat_o[29] ; 6.870 ; 6.931 ; 7.195 ; 7.309 ;
4215
; wb_adr_i[4] ; wb_dat_o[30] ; 6.802 ; 6.876 ; 7.120 ; 7.163 ;
4216
; wb_adr_i[4] ; wb_dat_o[31] ; 6.354 ; 6.419 ; 6.659 ; 6.731 ;
4217
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.361 ; 6.242 ; 6.543 ; 6.754 ;
4218
; wb_adr_i[5] ; wb_dat_o[1]  ; 6.549 ; 6.120 ; 6.435 ; 6.984 ;
4219
; wb_adr_i[5] ; wb_dat_o[2]  ; 6.829 ; 6.962 ; 7.180 ; 7.320 ;
4220
; wb_adr_i[5] ; wb_dat_o[3]  ; 6.683 ; 6.522 ; 6.803 ; 7.127 ;
4221
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.346 ; 6.883 ; 7.136 ; 7.797 ;
4222
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.268 ; 6.368 ; 6.663 ; 7.667 ;
4223
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.381 ; 6.773 ; 7.019 ; 7.828 ;
4224
; wb_adr_i[5] ; wb_dat_o[7]  ; 7.474 ; 7.270 ; 7.506 ; 7.887 ;
4225
; wb_adr_i[5] ; wb_dat_o[8]  ; 6.734 ; 6.705 ; 6.947 ; 7.095 ;
4226
; wb_adr_i[5] ; wb_dat_o[9]  ; 7.659 ; 7.810 ; 7.936 ; 8.137 ;
4227
; wb_adr_i[5] ; wb_dat_o[10] ; 7.501 ; 7.629 ; 7.837 ; 7.972 ;
4228
; wb_adr_i[5] ; wb_dat_o[11] ; 7.155 ; 7.281 ; 7.463 ; 7.642 ;
4229
; wb_adr_i[5] ; wb_dat_o[12] ; 6.204 ; 6.234 ; 6.540 ; 6.577 ;
4230
; wb_adr_i[5] ; wb_dat_o[13] ; 6.213 ; 6.245 ; 6.549 ; 6.588 ;
4231
; wb_adr_i[5] ; wb_dat_o[14] ; 7.096 ; 7.257 ; 7.432 ; 7.600 ;
4232
; wb_adr_i[5] ; wb_dat_o[15] ; 7.172 ; 7.313 ; 7.480 ; 7.674 ;
4233
; wb_adr_i[5] ; wb_dat_o[16] ; 6.702 ; 6.807 ; 7.038 ; 7.150 ;
4234
; wb_adr_i[5] ; wb_dat_o[17] ; 7.089 ; 7.202 ; 7.396 ; 7.562 ;
4235
; wb_adr_i[5] ; wb_dat_o[18] ; 7.531 ; 7.739 ; 7.883 ; 8.062 ;
4236
; wb_adr_i[5] ; wb_dat_o[19] ; 6.017 ; 6.026 ; 6.353 ; 6.369 ;
4237
; wb_adr_i[5] ; wb_dat_o[20] ; 6.413 ; 6.479 ; 6.750 ; 6.823 ;
4238
; wb_adr_i[5] ; wb_dat_o[21] ; 6.385 ; 6.384 ; 6.693 ; 6.745 ;
4239
; wb_adr_i[5] ; wb_dat_o[22] ; 6.756 ; 6.789 ; 7.095 ; 7.135 ;
4240
; wb_adr_i[5] ; wb_dat_o[23] ; 6.342 ; 6.385 ; 6.678 ; 6.728 ;
4241
; wb_adr_i[5] ; wb_dat_o[24] ; 6.343 ; 6.393 ; 6.682 ; 6.739 ;
4242
; wb_adr_i[5] ; wb_dat_o[25] ; 7.356 ; 7.541 ; 7.692 ; 7.884 ;
4243
; wb_adr_i[5] ; wb_dat_o[26] ; 6.816 ; 6.901 ; 7.167 ; 7.259 ;
4244
; wb_adr_i[5] ; wb_dat_o[27] ; 6.567 ; 6.684 ; 6.918 ; 7.042 ;
4245
; wb_adr_i[5] ; wb_dat_o[28] ; 6.749 ; 6.814 ; 7.100 ; 7.172 ;
4246
; wb_adr_i[5] ; wb_dat_o[29] ; 7.036 ; 7.097 ; 7.363 ; 7.477 ;
4247
; wb_adr_i[5] ; wb_dat_o[30] ; 6.968 ; 7.042 ; 7.288 ; 7.331 ;
4248
; wb_adr_i[5] ; wb_dat_o[31] ; 6.520 ; 6.585 ; 6.827 ; 6.899 ;
4249
; wb_cyc_i    ; wb_dat_o[0]  ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
4250
; wb_cyc_i    ; wb_dat_o[1]  ; 4.396 ; 4.356 ; 5.058 ; 5.018 ;
4251
; wb_cyc_i    ; wb_dat_o[2]  ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
4252
; wb_cyc_i    ; wb_dat_o[3]  ; 4.636 ; 4.596 ; 5.328 ; 5.288 ;
4253
; wb_cyc_i    ; wb_dat_o[4]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
4254
; wb_cyc_i    ; wb_dat_o[5]  ; 5.441 ; 5.401 ; 6.261 ; 6.221 ;
4255
; wb_cyc_i    ; wb_dat_o[6]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
4256
; wb_cyc_i    ; wb_dat_o[7]  ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
4257
; wb_cyc_i    ; wb_dat_o[8]  ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
4258
; wb_cyc_i    ; wb_dat_o[9]  ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
4259
; wb_cyc_i    ; wb_dat_o[10] ; 5.548 ; 5.466 ; 6.383 ; 6.301 ;
4260
; wb_cyc_i    ; wb_dat_o[11] ; 5.395 ; 5.355 ; 6.195 ; 6.155 ;
4261
; wb_cyc_i    ; wb_dat_o[12] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
4262
; wb_cyc_i    ; wb_dat_o[13] ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
4263
; wb_cyc_i    ; wb_dat_o[14] ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
4264
; wb_cyc_i    ; wb_dat_o[15] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
4265
; wb_cyc_i    ; wb_dat_o[16] ; 5.310 ; 5.265 ; 6.105 ; 6.060 ;
4266
; wb_cyc_i    ; wb_dat_o[17] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
4267
; wb_cyc_i    ; wb_dat_o[18] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
4268
; wb_cyc_i    ; wb_dat_o[19] ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
4269
; wb_cyc_i    ; wb_dat_o[20] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
4270
; wb_cyc_i    ; wb_dat_o[21] ; 4.726 ; 4.681 ; 5.443 ; 5.398 ;
4271
; wb_cyc_i    ; wb_dat_o[22] ; 5.361 ; 5.317 ; 6.078 ; 6.034 ;
4272
; wb_cyc_i    ; wb_dat_o[23] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
4273
; wb_cyc_i    ; wb_dat_o[24] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
4274
; wb_cyc_i    ; wb_dat_o[25] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
4275
; wb_cyc_i    ; wb_dat_o[26] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
4276
; wb_cyc_i    ; wb_dat_o[27] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
4277
; wb_cyc_i    ; wb_dat_o[28] ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
4278
; wb_cyc_i    ; wb_dat_o[29] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
4279
; wb_cyc_i    ; wb_dat_o[30] ; 5.689 ; 5.607 ; 6.540 ; 6.458 ;
4280
; wb_cyc_i    ; wb_dat_o[31] ; 4.909 ; 4.869 ; 5.640 ; 5.600 ;
4281
; wb_stb_i    ; wb_dat_o[0]  ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
4282
; wb_stb_i    ; wb_dat_o[1]  ; 4.537 ; 4.497 ; 5.208 ; 5.168 ;
4283
; wb_stb_i    ; wb_dat_o[2]  ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
4284
; wb_stb_i    ; wb_dat_o[3]  ; 4.777 ; 4.737 ; 5.478 ; 5.438 ;
4285
; wb_stb_i    ; wb_dat_o[4]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
4286
; wb_stb_i    ; wb_dat_o[5]  ; 5.582 ; 5.542 ; 6.411 ; 6.371 ;
4287
; wb_stb_i    ; wb_dat_o[6]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
4288
; wb_stb_i    ; wb_dat_o[7]  ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
4289
; wb_stb_i    ; wb_dat_o[8]  ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
4290
; wb_stb_i    ; wb_dat_o[9]  ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
4291
; wb_stb_i    ; wb_dat_o[10] ; 5.689 ; 5.607 ; 6.533 ; 6.451 ;
4292
; wb_stb_i    ; wb_dat_o[11] ; 5.536 ; 5.496 ; 6.345 ; 6.305 ;
4293
; wb_stb_i    ; wb_dat_o[12] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
4294
; wb_stb_i    ; wb_dat_o[13] ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
4295
; wb_stb_i    ; wb_dat_o[14] ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
4296
; wb_stb_i    ; wb_dat_o[15] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
4297
; wb_stb_i    ; wb_dat_o[16] ; 5.451 ; 5.406 ; 6.255 ; 6.210 ;
4298
; wb_stb_i    ; wb_dat_o[17] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
4299
; wb_stb_i    ; wb_dat_o[18] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
4300
; wb_stb_i    ; wb_dat_o[19] ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
4301
; wb_stb_i    ; wb_dat_o[20] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
4302
; wb_stb_i    ; wb_dat_o[21] ; 4.867 ; 4.822 ; 5.593 ; 5.548 ;
4303
; wb_stb_i    ; wb_dat_o[22] ; 5.502 ; 5.458 ; 6.228 ; 6.184 ;
4304
; wb_stb_i    ; wb_dat_o[23] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
4305
; wb_stb_i    ; wb_dat_o[24] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
4306
; wb_stb_i    ; wb_dat_o[25] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
4307
; wb_stb_i    ; wb_dat_o[26] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
4308
; wb_stb_i    ; wb_dat_o[27] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
4309
; wb_stb_i    ; wb_dat_o[28] ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
4310
; wb_stb_i    ; wb_dat_o[29] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
4311
; wb_stb_i    ; wb_dat_o[30] ; 5.830 ; 5.748 ; 6.690 ; 6.608 ;
4312
; wb_stb_i    ; wb_dat_o[31] ; 5.050 ; 5.010 ; 5.790 ; 5.750 ;
4313
; wb_we_i     ; wb_dat_o[0]  ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
4314
; wb_we_i     ; wb_dat_o[1]  ; 4.356 ; 4.316 ; 4.869 ; 4.829 ;
4315
; wb_we_i     ; wb_dat_o[2]  ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
4316
; wb_we_i     ; wb_dat_o[3]  ; 4.626 ; 4.586 ; 5.109 ; 5.069 ;
4317
; wb_we_i     ; wb_dat_o[4]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
4318
; wb_we_i     ; wb_dat_o[5]  ; 5.559 ; 5.519 ; 5.914 ; 5.874 ;
4319
; wb_we_i     ; wb_dat_o[6]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
4320
; wb_we_i     ; wb_dat_o[7]  ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
4321
; wb_we_i     ; wb_dat_o[8]  ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
4322
; wb_we_i     ; wb_dat_o[9]  ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
4323
; wb_we_i     ; wb_dat_o[10] ; 5.681 ; 5.599 ; 6.021 ; 5.939 ;
4324
; wb_we_i     ; wb_dat_o[11] ; 5.493 ; 5.453 ; 5.868 ; 5.828 ;
4325
; wb_we_i     ; wb_dat_o[12] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
4326
; wb_we_i     ; wb_dat_o[13] ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
4327
; wb_we_i     ; wb_dat_o[14] ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
4328
; wb_we_i     ; wb_dat_o[15] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
4329
; wb_we_i     ; wb_dat_o[16] ; 5.403 ; 5.358 ; 5.783 ; 5.738 ;
4330
; wb_we_i     ; wb_dat_o[17] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
4331
; wb_we_i     ; wb_dat_o[18] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
4332
; wb_we_i     ; wb_dat_o[19] ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
4333
; wb_we_i     ; wb_dat_o[20] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
4334
; wb_we_i     ; wb_dat_o[21] ; 4.741 ; 4.696 ; 5.199 ; 5.154 ;
4335
; wb_we_i     ; wb_dat_o[22] ; 5.376 ; 5.332 ; 5.834 ; 5.790 ;
4336
; wb_we_i     ; wb_dat_o[23] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
4337
; wb_we_i     ; wb_dat_o[24] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
4338
; wb_we_i     ; wb_dat_o[25] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
4339
; wb_we_i     ; wb_dat_o[26] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
4340
; wb_we_i     ; wb_dat_o[27] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
4341
; wb_we_i     ; wb_dat_o[28] ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
4342
; wb_we_i     ; wb_dat_o[29] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
4343
; wb_we_i     ; wb_dat_o[30] ; 5.838 ; 5.756 ; 6.162 ; 6.080 ;
4344
; wb_we_i     ; wb_dat_o[31] ; 4.938 ; 4.898 ; 5.382 ; 5.342 ;
4345
+-------------+--------------+-------+-------+-------+-------+
4346
 
4347
 
4348
---------------------------------------------
4349
; Fast 1200mV 0C Model Metastability Report ;
4350
---------------------------------------------
4351
No synchronizer chains to report.
4352
 
4353
 
4354
+--------------------------------------------------------------------------------+
4355
; Multicorner Timing Analysis Summary                                            ;
4356
+------------------+----------+-------+----------+---------+---------------------+
4357
; Clock            ; Setup    ; Hold  ; Recovery ; Removal ; Minimum Pulse Width ;
4358
+------------------+----------+-------+----------+---------+---------------------+
4359
; Worst-case Slack ; -2.910   ; 0.185 ; -2.528   ; 1.353   ; -3.000              ;
4360
;  wb_clk_i        ; -2.910   ; 0.185 ; -2.528   ; 1.353   ; -3.000              ;
4361
; Design-wide TNS  ; -416.889 ; 0.0   ; -267.492 ; 0.0     ; -326.495            ;
4362
;  wb_clk_i        ; -416.889 ; 0.000 ; -267.492 ; 0.000   ; -326.495            ;
4363
+------------------+----------+-------+----------+---------+---------------------+
4364
 
4365
 
4366
+---------------------------------------------------------------------------+
4367
; Setup Times                                                               ;
4368
+---------------+------------+-------+-------+------------+-----------------+
4369
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
4370
+---------------+------------+-------+-------+------------+-----------------+
4371
; wb_adr_i[*]   ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
4372
;  wb_adr_i[0]  ; wb_clk_i   ; 2.609 ; 3.032 ; Rise       ; wb_clk_i        ;
4373
;  wb_adr_i[2]  ; wb_clk_i   ; 3.998 ; 4.341 ; Rise       ; wb_clk_i        ;
4374
;  wb_adr_i[3]  ; wb_clk_i   ; 3.819 ; 4.170 ; Rise       ; wb_clk_i        ;
4375
;  wb_adr_i[4]  ; wb_clk_i   ; 3.952 ; 4.323 ; Rise       ; wb_clk_i        ;
4376
;  wb_adr_i[5]  ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
4377
; wb_cyc_i      ; wb_clk_i   ; 2.965 ; 3.470 ; Rise       ; wb_clk_i        ;
4378
; wb_stb_i      ; wb_clk_i   ; 3.221 ; 3.760 ; Rise       ; wb_clk_i        ;
4379
; wb_we_i       ; wb_clk_i   ; 2.542 ; 3.015 ; Rise       ; wb_clk_i        ;
4380
; wb_adr_i[*]   ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
4381
;  wb_adr_i[0]  ; wb_clk_i   ; 5.159 ; 5.598 ; Fall       ; wb_clk_i        ;
4382
;  wb_adr_i[1]  ; wb_clk_i   ; 5.183 ; 5.696 ; Fall       ; wb_clk_i        ;
4383
;  wb_adr_i[2]  ; wb_clk_i   ; 6.886 ; 7.254 ; Fall       ; wb_clk_i        ;
4384
;  wb_adr_i[3]  ; wb_clk_i   ; 6.707 ; 7.083 ; Fall       ; wb_clk_i        ;
4385
;  wb_adr_i[4]  ; wb_clk_i   ; 6.840 ; 7.236 ; Fall       ; wb_clk_i        ;
4386
;  wb_adr_i[5]  ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
4387
; wb_cyc_i      ; wb_clk_i   ; 4.445 ; 4.921 ; Fall       ; wb_clk_i        ;
4388
; wb_dat_i[*]   ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
4389
;  wb_dat_i[0]  ; wb_clk_i   ; 2.257 ; 2.702 ; Fall       ; wb_clk_i        ;
4390
;  wb_dat_i[1]  ; wb_clk_i   ; 1.756 ; 2.192 ; Fall       ; wb_clk_i        ;
4391
;  wb_dat_i[2]  ; wb_clk_i   ; 1.621 ; 2.053 ; Fall       ; wb_clk_i        ;
4392
;  wb_dat_i[3]  ; wb_clk_i   ; 2.237 ; 2.695 ; Fall       ; wb_clk_i        ;
4393
;  wb_dat_i[4]  ; wb_clk_i   ; 2.285 ; 2.765 ; Fall       ; wb_clk_i        ;
4394
;  wb_dat_i[5]  ; wb_clk_i   ; 2.012 ; 2.474 ; Fall       ; wb_clk_i        ;
4395
;  wb_dat_i[6]  ; wb_clk_i   ; 2.596 ; 3.158 ; Fall       ; wb_clk_i        ;
4396
;  wb_dat_i[7]  ; wb_clk_i   ; 2.003 ; 2.461 ; Fall       ; wb_clk_i        ;
4397
;  wb_dat_i[8]  ; wb_clk_i   ; 2.482 ; 2.978 ; Fall       ; wb_clk_i        ;
4398
;  wb_dat_i[9]  ; wb_clk_i   ; 2.028 ; 2.529 ; Fall       ; wb_clk_i        ;
4399
;  wb_dat_i[10] ; wb_clk_i   ; 2.508 ; 3.004 ; Fall       ; wb_clk_i        ;
4400
;  wb_dat_i[11] ; wb_clk_i   ; 2.265 ; 2.751 ; Fall       ; wb_clk_i        ;
4401
;  wb_dat_i[12] ; wb_clk_i   ; 1.957 ; 2.467 ; Fall       ; wb_clk_i        ;
4402
;  wb_dat_i[13] ; wb_clk_i   ; 2.611 ; 3.125 ; Fall       ; wb_clk_i        ;
4403
;  wb_dat_i[14] ; wb_clk_i   ; 2.463 ; 2.979 ; Fall       ; wb_clk_i        ;
4404
;  wb_dat_i[15] ; wb_clk_i   ; 2.188 ; 2.670 ; Fall       ; wb_clk_i        ;
4405
;  wb_dat_i[16] ; wb_clk_i   ; 2.224 ; 2.706 ; Fall       ; wb_clk_i        ;
4406
;  wb_dat_i[17] ; wb_clk_i   ; 2.408 ; 2.896 ; Fall       ; wb_clk_i        ;
4407
;  wb_dat_i[18] ; wb_clk_i   ; 2.234 ; 2.729 ; Fall       ; wb_clk_i        ;
4408
;  wb_dat_i[19] ; wb_clk_i   ; 2.261 ; 2.728 ; Fall       ; wb_clk_i        ;
4409
;  wb_dat_i[20] ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
4410
;  wb_dat_i[21] ; wb_clk_i   ; 2.340 ; 2.855 ; Fall       ; wb_clk_i        ;
4411
;  wb_dat_i[22] ; wb_clk_i   ; 1.268 ; 1.701 ; Fall       ; wb_clk_i        ;
4412
;  wb_dat_i[23] ; wb_clk_i   ; 2.031 ; 2.446 ; Fall       ; wb_clk_i        ;
4413
;  wb_dat_i[24] ; wb_clk_i   ; 2.343 ; 2.821 ; Fall       ; wb_clk_i        ;
4414
;  wb_dat_i[25] ; wb_clk_i   ; 1.949 ; 2.455 ; Fall       ; wb_clk_i        ;
4415
;  wb_dat_i[26] ; wb_clk_i   ; 2.045 ; 2.582 ; Fall       ; wb_clk_i        ;
4416
;  wb_dat_i[27] ; wb_clk_i   ; 2.114 ; 2.612 ; Fall       ; wb_clk_i        ;
4417
;  wb_dat_i[28] ; wb_clk_i   ; 1.852 ; 2.286 ; Fall       ; wb_clk_i        ;
4418
;  wb_dat_i[29] ; wb_clk_i   ; 2.207 ; 2.723 ; Fall       ; wb_clk_i        ;
4419
;  wb_dat_i[30] ; wb_clk_i   ; 2.405 ; 2.946 ; Fall       ; wb_clk_i        ;
4420
;  wb_dat_i[31] ; wb_clk_i   ; 2.763 ; 3.274 ; Fall       ; wb_clk_i        ;
4421
; wb_stb_i      ; wb_clk_i   ; 4.701 ; 5.211 ; Fall       ; wb_clk_i        ;
4422
; wb_we_i       ; wb_clk_i   ; 3.637 ; 4.053 ; Fall       ; wb_clk_i        ;
4423
+---------------+------------+-------+-------+------------+-----------------+
4424
 
4425
 
4426
+-----------------------------------------------------------------------------+
4427
; Hold Times                                                                  ;
4428
+---------------+------------+--------+--------+------------+-----------------+
4429
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
4430
+---------------+------------+--------+--------+------------+-----------------+
4431
; wb_adr_i[*]   ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
4432
;  wb_adr_i[0]  ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
4433
;  wb_adr_i[2]  ; wb_clk_i   ; -1.937 ; -2.322 ; Rise       ; wb_clk_i        ;
4434
;  wb_adr_i[3]  ; wb_clk_i   ; -1.848 ; -2.220 ; Rise       ; wb_clk_i        ;
4435
;  wb_adr_i[4]  ; wb_clk_i   ; -1.924 ; -2.322 ; Rise       ; wb_clk_i        ;
4436
;  wb_adr_i[5]  ; wb_clk_i   ; -2.090 ; -2.490 ; Rise       ; wb_clk_i        ;
4437
; wb_cyc_i      ; wb_clk_i   ; -1.014 ; -1.655 ; Rise       ; wb_clk_i        ;
4438
; wb_stb_i      ; wb_clk_i   ; -1.159 ; -1.809 ; Rise       ; wb_clk_i        ;
4439
; wb_we_i       ; wb_clk_i   ; -0.811 ; -1.397 ; Rise       ; wb_clk_i        ;
4440
; wb_adr_i[*]   ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
4441
;  wb_adr_i[0]  ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
4442
;  wb_adr_i[1]  ; wb_clk_i   ; -1.255 ; -1.844 ; Fall       ; wb_clk_i        ;
4443
;  wb_adr_i[2]  ; wb_clk_i   ; -2.269 ; -2.619 ; Fall       ; wb_clk_i        ;
4444
;  wb_adr_i[3]  ; wb_clk_i   ; -2.180 ; -2.517 ; Fall       ; wb_clk_i        ;
4445
;  wb_adr_i[4]  ; wb_clk_i   ; -2.256 ; -2.619 ; Fall       ; wb_clk_i        ;
4446
;  wb_adr_i[5]  ; wb_clk_i   ; -2.422 ; -2.787 ; Fall       ; wb_clk_i        ;
4447
; wb_cyc_i      ; wb_clk_i   ; -1.178 ; -1.857 ; Fall       ; wb_clk_i        ;
4448
; wb_dat_i[*]   ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
4449
;  wb_dat_i[0]  ; wb_clk_i   ; -0.098 ; -0.645 ; Fall       ; wb_clk_i        ;
4450
;  wb_dat_i[1]  ; wb_clk_i   ; 0.001  ; -0.547 ; Fall       ; wb_clk_i        ;
4451
;  wb_dat_i[2]  ; wb_clk_i   ; -0.078 ; -0.642 ; Fall       ; wb_clk_i        ;
4452
;  wb_dat_i[3]  ; wb_clk_i   ; -0.049 ; -0.626 ; Fall       ; wb_clk_i        ;
4453
;  wb_dat_i[4]  ; wb_clk_i   ; -0.315 ; -0.919 ; Fall       ; wb_clk_i        ;
4454
;  wb_dat_i[5]  ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
4455
;  wb_dat_i[6]  ; wb_clk_i   ; -0.515 ; -1.152 ; Fall       ; wb_clk_i        ;
4456
;  wb_dat_i[7]  ; wb_clk_i   ; -0.139 ; -0.697 ; Fall       ; wb_clk_i        ;
4457
;  wb_dat_i[8]  ; wb_clk_i   ; -0.259 ; -0.872 ; Fall       ; wb_clk_i        ;
4458
;  wb_dat_i[9]  ; wb_clk_i   ; -0.299 ; -0.926 ; Fall       ; wb_clk_i        ;
4459
;  wb_dat_i[10] ; wb_clk_i   ; -0.612 ; -1.270 ; Fall       ; wb_clk_i        ;
4460
;  wb_dat_i[11] ; wb_clk_i   ; -0.412 ; -1.044 ; Fall       ; wb_clk_i        ;
4461
;  wb_dat_i[12] ; wb_clk_i   ; -0.241 ; -0.857 ; Fall       ; wb_clk_i        ;
4462
;  wb_dat_i[13] ; wb_clk_i   ; -0.449 ; -1.084 ; Fall       ; wb_clk_i        ;
4463
;  wb_dat_i[14] ; wb_clk_i   ; -0.328 ; -0.954 ; Fall       ; wb_clk_i        ;
4464
;  wb_dat_i[15] ; wb_clk_i   ; -0.293 ; -0.918 ; Fall       ; wb_clk_i        ;
4465
;  wb_dat_i[16] ; wb_clk_i   ; -0.458 ; -1.080 ; Fall       ; wb_clk_i        ;
4466
;  wb_dat_i[17] ; wb_clk_i   ; -0.357 ; -0.974 ; Fall       ; wb_clk_i        ;
4467
;  wb_dat_i[18] ; wb_clk_i   ; -0.351 ; -0.966 ; Fall       ; wb_clk_i        ;
4468
;  wb_dat_i[19] ; wb_clk_i   ; -0.377 ; -0.969 ; Fall       ; wb_clk_i        ;
4469
;  wb_dat_i[20] ; wb_clk_i   ; -0.587 ; -1.238 ; Fall       ; wb_clk_i        ;
4470
;  wb_dat_i[21] ; wb_clk_i   ; -0.466 ; -1.117 ; Fall       ; wb_clk_i        ;
4471
;  wb_dat_i[22] ; wb_clk_i   ; -0.124 ; -0.675 ; Fall       ; wb_clk_i        ;
4472
;  wb_dat_i[23] ; wb_clk_i   ; -0.291 ; -0.842 ; Fall       ; wb_clk_i        ;
4473
;  wb_dat_i[24] ; wb_clk_i   ; -0.202 ; -0.807 ; Fall       ; wb_clk_i        ;
4474
;  wb_dat_i[25] ; wb_clk_i   ; -0.300 ; -0.933 ; Fall       ; wb_clk_i        ;
4475
;  wb_dat_i[26] ; wb_clk_i   ; -0.320 ; -0.955 ; Fall       ; wb_clk_i        ;
4476
;  wb_dat_i[27] ; wb_clk_i   ; -0.346 ; -0.974 ; Fall       ; wb_clk_i        ;
4477
;  wb_dat_i[28] ; wb_clk_i   ; -0.132 ; -0.715 ; Fall       ; wb_clk_i        ;
4478
;  wb_dat_i[29] ; wb_clk_i   ; -0.267 ; -0.893 ; Fall       ; wb_clk_i        ;
4479
;  wb_dat_i[30] ; wb_clk_i   ; -0.378 ; -1.014 ; Fall       ; wb_clk_i        ;
4480
;  wb_dat_i[31] ; wb_clk_i   ; -0.772 ; -1.446 ; Fall       ; wb_clk_i        ;
4481
; wb_stb_i      ; wb_clk_i   ; -1.287 ; -1.969 ; Fall       ; wb_clk_i        ;
4482
; wb_we_i       ; wb_clk_i   ; -0.764 ; -1.380 ; Fall       ; wb_clk_i        ;
4483
+---------------+------------+--------+--------+------------+-----------------+
4484
 
4485
 
4486
+---------------------------------------------------------------------------+
4487
; Clock to Output Times                                                     ;
4488
+---------------+------------+-------+-------+------------+-----------------+
4489
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
4490
+---------------+------------+-------+-------+------------+-----------------+
4491
; wb_ack_o      ; wb_clk_i   ; 7.153 ; 7.137 ; Rise       ; wb_clk_i        ;
4492
; wb_err_o      ; wb_clk_i   ; 6.848 ; 6.787 ; Rise       ; wb_clk_i        ;
4493
; wb_rty_o      ; wb_clk_i   ; 6.313 ; 6.240 ; Rise       ; wb_clk_i        ;
4494
; one_o         ; wb_clk_i   ; 7.107 ; 7.085 ; Fall       ; wb_clk_i        ;
4495
; wb_dat_o[*]   ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
4496
;  wb_dat_o[0]  ; wb_clk_i   ; 7.960 ; 7.849 ; Fall       ; wb_clk_i        ;
4497
;  wb_dat_o[1]  ; wb_clk_i   ; 9.112 ; 9.007 ; Fall       ; wb_clk_i        ;
4498
;  wb_dat_o[2]  ; wb_clk_i   ; 8.506 ; 8.564 ; Fall       ; wb_clk_i        ;
4499
;  wb_dat_o[3]  ; wb_clk_i   ; 8.344 ; 8.203 ; Fall       ; wb_clk_i        ;
4500
;  wb_dat_o[4]  ; wb_clk_i   ; 8.770 ; 8.747 ; Fall       ; wb_clk_i        ;
4501
;  wb_dat_o[5]  ; wb_clk_i   ; 8.249 ; 8.195 ; Fall       ; wb_clk_i        ;
4502
;  wb_dat_o[6]  ; wb_clk_i   ; 8.886 ; 8.904 ; Fall       ; wb_clk_i        ;
4503
;  wb_dat_o[7]  ; wb_clk_i   ; 9.101 ; 9.109 ; Fall       ; wb_clk_i        ;
4504
;  wb_dat_o[8]  ; wb_clk_i   ; 8.056 ; 7.989 ; Fall       ; wb_clk_i        ;
4505
;  wb_dat_o[9]  ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
4506
;  wb_dat_o[10] ; wb_clk_i   ; 9.241 ; 9.242 ; Fall       ; wb_clk_i        ;
4507
;  wb_dat_o[11] ; wb_clk_i   ; 8.368 ; 8.358 ; Fall       ; wb_clk_i        ;
4508
;  wb_dat_o[12] ; wb_clk_i   ; 7.338 ; 7.265 ; Fall       ; wb_clk_i        ;
4509
;  wb_dat_o[13] ; wb_clk_i   ; 7.357 ; 7.271 ; Fall       ; wb_clk_i        ;
4510
;  wb_dat_o[14] ; wb_clk_i   ; 8.597 ; 8.624 ; Fall       ; wb_clk_i        ;
4511
;  wb_dat_o[15] ; wb_clk_i   ; 8.345 ; 8.393 ; Fall       ; wb_clk_i        ;
4512
;  wb_dat_o[16] ; wb_clk_i   ; 7.924 ; 7.985 ; Fall       ; wb_clk_i        ;
4513
;  wb_dat_o[17] ; wb_clk_i   ; 8.069 ; 8.073 ; Fall       ; wb_clk_i        ;
4514
;  wb_dat_o[18] ; wb_clk_i   ; 8.883 ; 8.880 ; Fall       ; wb_clk_i        ;
4515
;  wb_dat_o[19] ; wb_clk_i   ; 6.587 ; 6.542 ; Fall       ; wb_clk_i        ;
4516
;  wb_dat_o[20] ; wb_clk_i   ; 7.407 ; 7.373 ; Fall       ; wb_clk_i        ;
4517
;  wb_dat_o[21] ; wb_clk_i   ; 6.854 ; 6.784 ; Fall       ; wb_clk_i        ;
4518
;  wb_dat_o[22] ; wb_clk_i   ; 7.919 ; 7.943 ; Fall       ; wb_clk_i        ;
4519
;  wb_dat_o[23] ; wb_clk_i   ; 7.822 ; 7.724 ; Fall       ; wb_clk_i        ;
4520
;  wb_dat_o[24] ; wb_clk_i   ; 7.611 ; 7.536 ; Fall       ; wb_clk_i        ;
4521
;  wb_dat_o[25] ; wb_clk_i   ; 9.170 ; 9.145 ; Fall       ; wb_clk_i        ;
4522
;  wb_dat_o[26] ; wb_clk_i   ; 8.203 ; 8.254 ; Fall       ; wb_clk_i        ;
4523
;  wb_dat_o[27] ; wb_clk_i   ; 7.847 ; 7.892 ; Fall       ; wb_clk_i        ;
4524
;  wb_dat_o[28] ; wb_clk_i   ; 8.114 ; 8.148 ; Fall       ; wb_clk_i        ;
4525
;  wb_dat_o[29] ; wb_clk_i   ; 8.109 ; 8.120 ; Fall       ; wb_clk_i        ;
4526
;  wb_dat_o[30] ; wb_clk_i   ; 7.405 ; 7.458 ; Fall       ; wb_clk_i        ;
4527
;  wb_dat_o[31] ; wb_clk_i   ; 7.164 ; 7.160 ; Fall       ; wb_clk_i        ;
4528
; zero_o        ; wb_clk_i   ; 9.140 ; 9.037 ; Fall       ; wb_clk_i        ;
4529
+---------------+------------+-------+-------+------------+-----------------+
4530
 
4531
 
4532
+---------------------------------------------------------------------------+
4533
; Minimum Clock to Output Times                                             ;
4534
+---------------+------------+-------+-------+------------+-----------------+
4535
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
4536
+---------------+------------+-------+-------+------------+-----------------+
4537
; wb_ack_o      ; wb_clk_i   ; 4.193 ; 4.256 ; Rise       ; wb_clk_i        ;
4538
; wb_err_o      ; wb_clk_i   ; 3.858 ; 3.928 ; Rise       ; wb_clk_i        ;
4539
; wb_rty_o      ; wb_clk_i   ; 3.571 ; 3.614 ; Rise       ; wb_clk_i        ;
4540
; one_o         ; wb_clk_i   ; 4.435 ; 4.307 ; Fall       ; wb_clk_i        ;
4541
; wb_dat_o[*]   ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
4542
;  wb_dat_o[0]  ; wb_clk_i   ; 4.385 ; 4.493 ; Fall       ; wb_clk_i        ;
4543
;  wb_dat_o[1]  ; wb_clk_i   ; 4.095 ; 4.148 ; Fall       ; wb_clk_i        ;
4544
;  wb_dat_o[2]  ; wb_clk_i   ; 4.838 ; 4.982 ; Fall       ; wb_clk_i        ;
4545
;  wb_dat_o[3]  ; wb_clk_i   ; 4.143 ; 4.221 ; Fall       ; wb_clk_i        ;
4546
;  wb_dat_o[4]  ; wb_clk_i   ; 4.452 ; 4.521 ; Fall       ; wb_clk_i        ;
4547
;  wb_dat_o[5]  ; wb_clk_i   ; 4.225 ; 4.291 ; Fall       ; wb_clk_i        ;
4548
;  wb_dat_o[6]  ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
4549
;  wb_dat_o[7]  ; wb_clk_i   ; 4.853 ; 4.956 ; Fall       ; wb_clk_i        ;
4550
;  wb_dat_o[8]  ; wb_clk_i   ; 4.301 ; 4.365 ; Fall       ; wb_clk_i        ;
4551
;  wb_dat_o[9]  ; wb_clk_i   ; 5.210 ; 5.426 ; Fall       ; wb_clk_i        ;
4552
;  wb_dat_o[10] ; wb_clk_i   ; 5.383 ; 5.522 ; Fall       ; wb_clk_i        ;
4553
;  wb_dat_o[11] ; wb_clk_i   ; 4.895 ; 5.056 ; Fall       ; wb_clk_i        ;
4554
;  wb_dat_o[12] ; wb_clk_i   ; 4.079 ; 4.120 ; Fall       ; wb_clk_i        ;
4555
;  wb_dat_o[13] ; wb_clk_i   ; 4.093 ; 4.136 ; Fall       ; wb_clk_i        ;
4556
;  wb_dat_o[14] ; wb_clk_i   ; 4.976 ; 5.148 ; Fall       ; wb_clk_i        ;
4557
;  wb_dat_o[15] ; wb_clk_i   ; 4.912 ; 5.088 ; Fall       ; wb_clk_i        ;
4558
;  wb_dat_o[16] ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
4559
;  wb_dat_o[17] ; wb_clk_i   ; 4.826 ; 4.974 ; Fall       ; wb_clk_i        ;
4560
;  wb_dat_o[18] ; wb_clk_i   ; 5.288 ; 5.490 ; Fall       ; wb_clk_i        ;
4561
;  wb_dat_o[19] ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
4562
;  wb_dat_o[20] ; wb_clk_i   ; 4.289 ; 4.366 ; Fall       ; wb_clk_i        ;
4563
;  wb_dat_o[21] ; wb_clk_i   ; 4.117 ; 4.151 ; Fall       ; wb_clk_i        ;
4564
;  wb_dat_o[22] ; wb_clk_i   ; 4.758 ; 4.841 ; Fall       ; wb_clk_i        ;
4565
;  wb_dat_o[23] ; wb_clk_i   ; 4.218 ; 4.272 ; Fall       ; wb_clk_i        ;
4566
;  wb_dat_o[24] ; wb_clk_i   ; 4.232 ; 4.293 ; Fall       ; wb_clk_i        ;
4567
;  wb_dat_o[25] ; wb_clk_i   ; 5.230 ; 5.426 ; Fall       ; wb_clk_i        ;
4568
;  wb_dat_o[26] ; wb_clk_i   ; 4.826 ; 4.922 ; Fall       ; wb_clk_i        ;
4569
;  wb_dat_o[27] ; wb_clk_i   ; 4.578 ; 4.706 ; Fall       ; wb_clk_i        ;
4570
;  wb_dat_o[28] ; wb_clk_i   ; 4.761 ; 4.837 ; Fall       ; wb_clk_i        ;
4571
;  wb_dat_o[29] ; wb_clk_i   ; 4.908 ; 5.004 ; Fall       ; wb_clk_i        ;
4572
;  wb_dat_o[30] ; wb_clk_i   ; 4.527 ; 4.595 ; Fall       ; wb_clk_i        ;
4573
;  wb_dat_o[31] ; wb_clk_i   ; 4.201 ; 4.277 ; Fall       ; wb_clk_i        ;
4574
; zero_o        ; wb_clk_i   ; 5.661 ; 5.440 ; Fall       ; wb_clk_i        ;
4575
+---------------+------------+-------+-------+------------+-----------------+
4576
 
4577
 
4578
+----------------------------------------------------------------+
4579
; Propagation Delay                                              ;
4580
+-------------+--------------+--------+--------+--------+--------+
4581
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
4582
+-------------+--------------+--------+--------+--------+--------+
4583
; wb_adr_i[0] ; wb_dat_o[0]  ; 9.305  ; 9.480  ; 10.082 ; 9.579  ;
4584
; wb_adr_i[0] ; wb_dat_o[1]  ; 9.682  ; 9.903  ; 10.450 ; 10.024 ;
4585
; wb_adr_i[0] ; wb_dat_o[2]  ; 10.103 ; 10.364 ; 10.883 ; 10.560 ;
4586
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.970  ; 10.173 ; 10.741 ; 10.292 ;
4587
; wb_adr_i[0] ; wb_dat_o[4]  ; 11.026 ; 11.356 ; 11.950 ; 11.310 ;
4588
; wb_adr_i[0] ; wb_dat_o[5]  ; 11.000 ; 11.040 ; 11.625 ; 11.285 ;
4589
; wb_adr_i[0] ; wb_dat_o[6]  ; 11.149 ; 11.513 ; 12.064 ; 11.475 ;
4590
; wb_adr_i[0] ; wb_dat_o[7]  ; 11.244 ; 11.624 ; 12.149 ; 11.587 ;
4591
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.946  ; 10.031 ; 10.635 ; 10.222 ;
4592
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.519 ; 11.576 ; 12.135 ; 11.829 ;
4593
; wb_adr_i[0] ; wb_dat_o[10] ; 11.178 ; 11.405 ; 11.956 ; 11.504 ;
4594
; wb_adr_i[0] ; wb_dat_o[11] ; 10.680 ; 10.642 ; 11.175 ; 11.049 ;
4595
; wb_adr_i[0] ; wb_dat_o[12] ; 8.954  ; 9.130  ; 9.718  ; 9.231  ;
4596
; wb_adr_i[0] ; wb_dat_o[13] ; 8.987  ; 9.161  ; 9.752  ; 9.266  ;
4597
; wb_adr_i[0] ; wb_dat_o[14] ; 10.537 ; 10.792 ; 11.318 ; 10.888 ;
4598
; wb_adr_i[0] ; wb_dat_o[15] ; 10.642 ; 10.669 ; 11.136 ; 11.076 ;
4599
; wb_adr_i[0] ; wb_dat_o[16] ; 9.861  ; 10.147 ; 10.638 ; 10.247 ;
4600
; wb_adr_i[0] ; wb_dat_o[17] ; 10.510 ; 10.473 ; 11.009 ; 10.876 ;
4601
; wb_adr_i[0] ; wb_dat_o[18] ; 11.290 ; 11.306 ; 11.826 ; 11.649 ;
4602
; wb_adr_i[0] ; wb_dat_o[19] ; 8.581  ; 8.751  ; 9.328  ; 8.867  ;
4603
; wb_adr_i[0] ; wb_dat_o[20] ; 9.331  ; 9.516  ; 10.095 ; 9.618  ;
4604
; wb_adr_i[0] ; wb_dat_o[21] ; 9.303  ; 9.185  ; 9.784  ; 9.594  ;
4605
; wb_adr_i[0] ; wb_dat_o[22] ; 9.666  ; 9.692  ; 10.197 ; 10.023 ;
4606
; wb_adr_i[0] ; wb_dat_o[23] ; 9.229  ; 9.378  ; 9.975  ; 9.495  ;
4607
; wb_adr_i[0] ; wb_dat_o[24] ; 9.202  ; 9.345  ; 9.921  ; 9.500  ;
4608
; wb_adr_i[0] ; wb_dat_o[25] ; 10.991 ; 11.201 ; 11.738 ; 11.317 ;
4609
; wb_adr_i[0] ; wb_dat_o[26] ; 9.921  ; 10.202 ; 10.715 ; 10.309 ;
4610
; wb_adr_i[0] ; wb_dat_o[27] ; 9.605  ; 9.882  ; 10.383 ; 9.999  ;
4611
; wb_adr_i[0] ; wb_dat_o[28] ; 9.821  ; 10.079 ; 10.612 ; 10.190 ;
4612
; wb_adr_i[0] ; wb_dat_o[29] ; 10.323 ; 10.301 ; 10.839 ; 10.705 ;
4613
; wb_adr_i[0] ; wb_dat_o[30] ; 10.145 ; 10.346 ; 10.824 ; 10.544 ;
4614
; wb_adr_i[0] ; wb_dat_o[31] ; 9.473  ; 9.816  ; 10.370 ; 9.786  ;
4615
; wb_adr_i[1] ; wb_dat_o[0]  ; 9.313  ; 9.530  ; 10.197 ; 9.684  ;
4616
; wb_adr_i[1] ; wb_dat_o[1]  ; 9.683  ; 9.953  ; 10.565 ; 10.136 ;
4617
; wb_adr_i[1] ; wb_dat_o[2]  ; 10.114 ; 10.414 ; 10.998 ; 10.675 ;
4618
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.971  ; 10.223 ; 10.856 ; 10.404 ;
4619
; wb_adr_i[1] ; wb_dat_o[4]  ; 11.001 ; 11.406 ; 12.065 ; 11.448 ;
4620
; wb_adr_i[1] ; wb_dat_o[5]  ; 10.934 ; 11.090 ; 11.740 ; 11.387 ;
4621
; wb_adr_i[1] ; wb_dat_o[6]  ; 11.123 ; 11.563 ; 12.179 ; 11.614 ;
4622
; wb_adr_i[1] ; wb_dat_o[7]  ; 11.218 ; 11.674 ; 12.264 ; 11.726 ;
4623
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.955  ; 10.081 ; 10.750 ; 10.388 ;
4624
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.452 ; 11.626 ; 12.250 ; 11.932 ;
4625
; wb_adr_i[1] ; wb_dat_o[10] ; 11.165 ; 11.455 ; 12.071 ; 11.630 ;
4626
; wb_adr_i[1] ; wb_dat_o[11] ; 10.633 ; 10.692 ; 11.290 ; 11.122 ;
4627
; wb_adr_i[1] ; wb_dat_o[12] ; 8.939  ; 9.180  ; 9.833  ; 9.359  ;
4628
; wb_adr_i[1] ; wb_dat_o[13] ; 8.973  ; 9.211  ; 9.867  ; 9.393  ;
4629
; wb_adr_i[1] ; wb_dat_o[14] ; 10.523 ; 10.842 ; 11.433 ; 11.015 ;
4630
; wb_adr_i[1] ; wb_dat_o[15] ; 10.595 ; 10.719 ; 11.251 ; 11.149 ;
4631
; wb_adr_i[1] ; wb_dat_o[16] ; 9.848  ; 10.197 ; 10.753 ; 10.373 ;
4632
; wb_adr_i[1] ; wb_dat_o[17] ; 10.462 ; 10.523 ; 11.124 ; 10.950 ;
4633
; wb_adr_i[1] ; wb_dat_o[18] ; 11.318 ; 11.356 ; 11.941 ; 11.793 ;
4634
; wb_adr_i[1] ; wb_dat_o[19] ; 8.566  ; 8.801  ; 9.443  ; 8.995  ;
4635
; wb_adr_i[1] ; wb_dat_o[20] ; 9.316  ; 9.566  ; 10.210 ; 9.746  ;
4636
; wb_adr_i[1] ; wb_dat_o[21] ; 9.255  ; 9.235  ; 9.899  ; 9.668  ;
4637
; wb_adr_i[1] ; wb_dat_o[22] ; 9.670  ; 9.742  ; 10.312 ; 10.132 ;
4638
; wb_adr_i[1] ; wb_dat_o[23] ; 9.215  ; 9.428  ; 10.090 ; 9.622  ;
4639
; wb_adr_i[1] ; wb_dat_o[24] ; 9.207  ; 9.395  ; 10.036 ; 9.608  ;
4640
; wb_adr_i[1] ; wb_dat_o[25] ; 10.976 ; 11.251 ; 11.853 ; 11.445 ;
4641
; wb_adr_i[1] ; wb_dat_o[26] ; 9.922  ; 10.252 ; 10.830 ; 10.421 ;
4642
; wb_adr_i[1] ; wb_dat_o[27] ; 9.607  ; 9.932  ; 10.498 ; 10.110 ;
4643
; wb_adr_i[1] ; wb_dat_o[28] ; 9.823  ; 10.129 ; 10.727 ; 10.301 ;
4644
; wb_adr_i[1] ; wb_dat_o[29] ; 10.284 ; 10.351 ; 10.954 ; 10.770 ;
4645
; wb_adr_i[1] ; wb_dat_o[30] ; 10.152 ; 10.396 ; 10.939 ; 10.712 ;
4646
; wb_adr_i[1] ; wb_dat_o[31] ; 9.446  ; 9.866  ; 10.485 ; 9.926  ;
4647
; wb_adr_i[2] ; wb_dat_o[0]  ; 11.386 ; 10.874 ; 11.384 ; 11.602 ;
4648
; wb_adr_i[2] ; wb_dat_o[1]  ; 11.754 ; 11.326 ; 11.754 ; 12.025 ;
4649
; wb_adr_i[2] ; wb_dat_o[2]  ; 12.187 ; 11.864 ; 12.186 ; 12.486 ;
4650
; wb_adr_i[2] ; wb_dat_o[3]  ; 12.045 ; 11.594 ; 12.042 ; 12.295 ;
4651
; wb_adr_i[2] ; wb_dat_o[4]  ; 13.254 ; 12.638 ; 13.072 ; 13.478 ;
4652
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.929 ; 12.577 ; 13.005 ; 13.162 ;
4653
; wb_adr_i[2] ; wb_dat_o[6]  ; 13.368 ; 12.804 ; 13.194 ; 13.635 ;
4654
; wb_adr_i[2] ; wb_dat_o[7]  ; 13.453 ; 12.916 ; 13.289 ; 13.746 ;
4655
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.939 ; 11.578 ; 12.026 ; 12.153 ;
4656
; wb_adr_i[2] ; wb_dat_o[9]  ; 13.439 ; 13.122 ; 13.523 ; 13.698 ;
4657
; wb_adr_i[2] ; wb_dat_o[10] ; 13.260 ; 12.820 ; 13.236 ; 13.527 ;
4658
; wb_adr_i[2] ; wb_dat_o[11] ; 12.479 ; 12.312 ; 12.704 ; 12.764 ;
4659
; wb_adr_i[2] ; wb_dat_o[12] ; 11.022 ; 10.549 ; 11.010 ; 11.252 ;
4660
; wb_adr_i[2] ; wb_dat_o[13] ; 11.056 ; 10.583 ; 11.044 ; 11.283 ;
4661
; wb_adr_i[2] ; wb_dat_o[14] ; 12.622 ; 12.205 ; 12.594 ; 12.914 ;
4662
; wb_adr_i[2] ; wb_dat_o[15] ; 12.440 ; 12.339 ; 12.666 ; 12.791 ;
4663
; wb_adr_i[2] ; wb_dat_o[16] ; 11.942 ; 11.563 ; 11.919 ; 12.269 ;
4664
; wb_adr_i[2] ; wb_dat_o[17] ; 12.313 ; 12.140 ; 12.533 ; 12.595 ;
4665
; wb_adr_i[2] ; wb_dat_o[18] ; 13.130 ; 12.983 ; 13.389 ; 13.428 ;
4666
; wb_adr_i[2] ; wb_dat_o[19] ; 10.632 ; 10.185 ; 10.637 ; 10.873 ;
4667
; wb_adr_i[2] ; wb_dat_o[20] ; 11.399 ; 10.936 ; 11.387 ; 11.638 ;
4668
; wb_adr_i[2] ; wb_dat_o[21] ; 11.088 ; 10.858 ; 11.326 ; 11.307 ;
4669
; wb_adr_i[2] ; wb_dat_o[22] ; 11.501 ; 11.322 ; 11.741 ; 11.814 ;
4670
; wb_adr_i[2] ; wb_dat_o[23] ; 11.279 ; 10.812 ; 11.286 ; 11.500 ;
4671
; wb_adr_i[2] ; wb_dat_o[24] ; 11.225 ; 10.798 ; 11.278 ; 11.467 ;
4672
; wb_adr_i[2] ; wb_dat_o[25] ; 13.042 ; 12.635 ; 13.047 ; 13.323 ;
4673
; wb_adr_i[2] ; wb_dat_o[26] ; 12.019 ; 11.611 ; 11.993 ; 12.324 ;
4674
; wb_adr_i[2] ; wb_dat_o[27] ; 11.687 ; 11.300 ; 11.678 ; 12.004 ;
4675
; wb_adr_i[2] ; wb_dat_o[28] ; 11.916 ; 11.491 ; 11.894 ; 12.201 ;
4676
; wb_adr_i[2] ; wb_dat_o[29] ; 12.143 ; 11.960 ; 12.355 ; 12.423 ;
4677
; wb_adr_i[2] ; wb_dat_o[30] ; 12.128 ; 11.902 ; 12.223 ; 12.468 ;
4678
; wb_adr_i[2] ; wb_dat_o[31] ; 11.674 ; 11.116 ; 11.517 ; 11.938 ;
4679
; wb_adr_i[3] ; wb_dat_o[0]  ; 11.207 ; 10.695 ; 11.213 ; 11.431 ;
4680
; wb_adr_i[3] ; wb_dat_o[1]  ; 11.575 ; 11.147 ; 11.583 ; 11.854 ;
4681
; wb_adr_i[3] ; wb_dat_o[2]  ; 12.008 ; 11.685 ; 12.015 ; 12.315 ;
4682
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.866 ; 11.415 ; 11.871 ; 12.124 ;
4683
; wb_adr_i[3] ; wb_dat_o[4]  ; 13.075 ; 12.459 ; 12.901 ; 13.307 ;
4684
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.750 ; 12.398 ; 12.834 ; 12.991 ;
4685
; wb_adr_i[3] ; wb_dat_o[6]  ; 13.189 ; 12.625 ; 13.023 ; 13.464 ;
4686
; wb_adr_i[3] ; wb_dat_o[7]  ; 13.274 ; 12.737 ; 13.118 ; 13.575 ;
4687
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.760 ; 11.399 ; 11.855 ; 11.982 ;
4688
; wb_adr_i[3] ; wb_dat_o[9]  ; 13.260 ; 12.943 ; 13.352 ; 13.527 ;
4689
; wb_adr_i[3] ; wb_dat_o[10] ; 13.081 ; 12.641 ; 13.065 ; 13.356 ;
4690
; wb_adr_i[3] ; wb_dat_o[11] ; 12.300 ; 12.133 ; 12.533 ; 12.593 ;
4691
; wb_adr_i[3] ; wb_dat_o[12] ; 10.843 ; 10.370 ; 10.839 ; 11.081 ;
4692
; wb_adr_i[3] ; wb_dat_o[13] ; 10.877 ; 10.404 ; 10.873 ; 11.112 ;
4693
; wb_adr_i[3] ; wb_dat_o[14] ; 12.443 ; 12.026 ; 12.423 ; 12.743 ;
4694
; wb_adr_i[3] ; wb_dat_o[15] ; 12.261 ; 12.160 ; 12.495 ; 12.620 ;
4695
; wb_adr_i[3] ; wb_dat_o[16] ; 11.763 ; 11.384 ; 11.748 ; 12.098 ;
4696
; wb_adr_i[3] ; wb_dat_o[17] ; 12.134 ; 11.961 ; 12.362 ; 12.424 ;
4697
; wb_adr_i[3] ; wb_dat_o[18] ; 12.951 ; 12.804 ; 13.218 ; 13.257 ;
4698
; wb_adr_i[3] ; wb_dat_o[19] ; 10.453 ; 10.006 ; 10.466 ; 10.702 ;
4699
; wb_adr_i[3] ; wb_dat_o[20] ; 11.220 ; 10.757 ; 11.216 ; 11.467 ;
4700
; wb_adr_i[3] ; wb_dat_o[21] ; 10.909 ; 10.679 ; 11.155 ; 11.136 ;
4701
; wb_adr_i[3] ; wb_dat_o[22] ; 11.322 ; 11.143 ; 11.570 ; 11.643 ;
4702
; wb_adr_i[3] ; wb_dat_o[23] ; 11.100 ; 10.633 ; 11.115 ; 11.329 ;
4703
; wb_adr_i[3] ; wb_dat_o[24] ; 11.046 ; 10.619 ; 11.107 ; 11.296 ;
4704
; wb_adr_i[3] ; wb_dat_o[25] ; 12.863 ; 12.456 ; 12.876 ; 13.152 ;
4705
; wb_adr_i[3] ; wb_dat_o[26] ; 11.840 ; 11.432 ; 11.822 ; 12.153 ;
4706
; wb_adr_i[3] ; wb_dat_o[27] ; 11.508 ; 11.121 ; 11.507 ; 11.833 ;
4707
; wb_adr_i[3] ; wb_dat_o[28] ; 11.737 ; 11.312 ; 11.723 ; 12.030 ;
4708
; wb_adr_i[3] ; wb_dat_o[29] ; 11.964 ; 11.781 ; 12.184 ; 12.252 ;
4709
; wb_adr_i[3] ; wb_dat_o[30] ; 11.949 ; 11.723 ; 12.052 ; 12.297 ;
4710
; wb_adr_i[3] ; wb_dat_o[31] ; 11.495 ; 10.937 ; 11.346 ; 11.767 ;
4711
; wb_adr_i[4] ; wb_dat_o[0]  ; 11.340 ; 10.828 ; 11.366 ; 11.584 ;
4712
; wb_adr_i[4] ; wb_dat_o[1]  ; 11.708 ; 11.280 ; 11.736 ; 12.007 ;
4713
; wb_adr_i[4] ; wb_dat_o[2]  ; 12.141 ; 11.818 ; 12.168 ; 12.468 ;
4714
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.999 ; 11.548 ; 12.024 ; 12.277 ;
4715
; wb_adr_i[4] ; wb_dat_o[4]  ; 13.208 ; 12.592 ; 13.054 ; 13.460 ;
4716
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.883 ; 12.531 ; 12.987 ; 13.144 ;
4717
; wb_adr_i[4] ; wb_dat_o[6]  ; 13.322 ; 12.758 ; 13.176 ; 13.617 ;
4718
; wb_adr_i[4] ; wb_dat_o[7]  ; 13.407 ; 12.870 ; 13.271 ; 13.728 ;
4719
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.893 ; 11.532 ; 12.008 ; 12.135 ;
4720
; wb_adr_i[4] ; wb_dat_o[9]  ; 13.393 ; 13.076 ; 13.505 ; 13.680 ;
4721
; wb_adr_i[4] ; wb_dat_o[10] ; 13.214 ; 12.774 ; 13.218 ; 13.509 ;
4722
; wb_adr_i[4] ; wb_dat_o[11] ; 12.433 ; 12.266 ; 12.686 ; 12.746 ;
4723
; wb_adr_i[4] ; wb_dat_o[12] ; 10.976 ; 10.503 ; 10.992 ; 11.234 ;
4724
; wb_adr_i[4] ; wb_dat_o[13] ; 11.010 ; 10.537 ; 11.026 ; 11.265 ;
4725
; wb_adr_i[4] ; wb_dat_o[14] ; 12.576 ; 12.159 ; 12.576 ; 12.896 ;
4726
; wb_adr_i[4] ; wb_dat_o[15] ; 12.394 ; 12.293 ; 12.648 ; 12.773 ;
4727
; wb_adr_i[4] ; wb_dat_o[16] ; 11.896 ; 11.517 ; 11.901 ; 12.251 ;
4728
; wb_adr_i[4] ; wb_dat_o[17] ; 12.267 ; 12.094 ; 12.515 ; 12.577 ;
4729
; wb_adr_i[4] ; wb_dat_o[18] ; 13.084 ; 12.937 ; 13.371 ; 13.410 ;
4730
; wb_adr_i[4] ; wb_dat_o[19] ; 10.586 ; 10.139 ; 10.619 ; 10.855 ;
4731
; wb_adr_i[4] ; wb_dat_o[20] ; 11.353 ; 10.890 ; 11.369 ; 11.620 ;
4732
; wb_adr_i[4] ; wb_dat_o[21] ; 11.042 ; 10.812 ; 11.308 ; 11.289 ;
4733
; wb_adr_i[4] ; wb_dat_o[22] ; 11.455 ; 11.276 ; 11.723 ; 11.796 ;
4734
; wb_adr_i[4] ; wb_dat_o[23] ; 11.233 ; 10.766 ; 11.268 ; 11.482 ;
4735
; wb_adr_i[4] ; wb_dat_o[24] ; 11.179 ; 10.752 ; 11.260 ; 11.449 ;
4736
; wb_adr_i[4] ; wb_dat_o[25] ; 12.996 ; 12.589 ; 13.029 ; 13.305 ;
4737
; wb_adr_i[4] ; wb_dat_o[26] ; 11.973 ; 11.565 ; 11.975 ; 12.306 ;
4738
; wb_adr_i[4] ; wb_dat_o[27] ; 11.641 ; 11.254 ; 11.660 ; 11.986 ;
4739
; wb_adr_i[4] ; wb_dat_o[28] ; 11.870 ; 11.445 ; 11.876 ; 12.183 ;
4740
; wb_adr_i[4] ; wb_dat_o[29] ; 12.097 ; 11.914 ; 12.337 ; 12.405 ;
4741
; wb_adr_i[4] ; wb_dat_o[30] ; 12.082 ; 11.856 ; 12.205 ; 12.450 ;
4742
; wb_adr_i[4] ; wb_dat_o[31] ; 11.628 ; 11.070 ; 11.499 ; 11.920 ;
4743
; wb_adr_i[5] ; wb_dat_o[0]  ; 11.659 ; 11.147 ; 11.664 ; 11.882 ;
4744
; wb_adr_i[5] ; wb_dat_o[1]  ; 12.027 ; 11.599 ; 12.034 ; 12.305 ;
4745
; wb_adr_i[5] ; wb_dat_o[2]  ; 12.460 ; 12.137 ; 12.466 ; 12.766 ;
4746
; wb_adr_i[5] ; wb_dat_o[3]  ; 12.318 ; 11.867 ; 12.322 ; 12.575 ;
4747
; wb_adr_i[5] ; wb_dat_o[4]  ; 13.527 ; 12.911 ; 13.352 ; 13.758 ;
4748
; wb_adr_i[5] ; wb_dat_o[5]  ; 13.202 ; 12.850 ; 13.285 ; 13.442 ;
4749
; wb_adr_i[5] ; wb_dat_o[6]  ; 13.641 ; 13.077 ; 13.474 ; 13.915 ;
4750
; wb_adr_i[5] ; wb_dat_o[7]  ; 13.726 ; 13.189 ; 13.569 ; 14.026 ;
4751
; wb_adr_i[5] ; wb_dat_o[8]  ; 12.212 ; 11.851 ; 12.306 ; 12.433 ;
4752
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.712 ; 13.395 ; 13.803 ; 13.978 ;
4753
; wb_adr_i[5] ; wb_dat_o[10] ; 13.533 ; 13.093 ; 13.516 ; 13.807 ;
4754
; wb_adr_i[5] ; wb_dat_o[11] ; 12.752 ; 12.585 ; 12.984 ; 13.044 ;
4755
; wb_adr_i[5] ; wb_dat_o[12] ; 11.295 ; 10.822 ; 11.290 ; 11.532 ;
4756
; wb_adr_i[5] ; wb_dat_o[13] ; 11.329 ; 10.856 ; 11.324 ; 11.563 ;
4757
; wb_adr_i[5] ; wb_dat_o[14] ; 12.895 ; 12.478 ; 12.874 ; 13.194 ;
4758
; wb_adr_i[5] ; wb_dat_o[15] ; 12.713 ; 12.612 ; 12.946 ; 13.071 ;
4759
; wb_adr_i[5] ; wb_dat_o[16] ; 12.215 ; 11.836 ; 12.199 ; 12.549 ;
4760
; wb_adr_i[5] ; wb_dat_o[17] ; 12.586 ; 12.413 ; 12.813 ; 12.875 ;
4761
; wb_adr_i[5] ; wb_dat_o[18] ; 13.403 ; 13.256 ; 13.669 ; 13.708 ;
4762
; wb_adr_i[5] ; wb_dat_o[19] ; 10.905 ; 10.458 ; 10.917 ; 11.153 ;
4763
; wb_adr_i[5] ; wb_dat_o[20] ; 11.672 ; 11.209 ; 11.667 ; 11.918 ;
4764
; wb_adr_i[5] ; wb_dat_o[21] ; 11.361 ; 11.131 ; 11.606 ; 11.587 ;
4765
; wb_adr_i[5] ; wb_dat_o[22] ; 11.774 ; 11.595 ; 12.021 ; 12.094 ;
4766
; wb_adr_i[5] ; wb_dat_o[23] ; 11.552 ; 11.085 ; 11.566 ; 11.780 ;
4767
; wb_adr_i[5] ; wb_dat_o[24] ; 11.498 ; 11.071 ; 11.558 ; 11.747 ;
4768
; wb_adr_i[5] ; wb_dat_o[25] ; 13.315 ; 12.908 ; 13.327 ; 13.603 ;
4769
; wb_adr_i[5] ; wb_dat_o[26] ; 12.292 ; 11.884 ; 12.273 ; 12.604 ;
4770
; wb_adr_i[5] ; wb_dat_o[27] ; 11.960 ; 11.573 ; 11.958 ; 12.284 ;
4771
; wb_adr_i[5] ; wb_dat_o[28] ; 12.189 ; 11.764 ; 12.174 ; 12.481 ;
4772
; wb_adr_i[5] ; wb_dat_o[29] ; 12.416 ; 12.233 ; 12.635 ; 12.703 ;
4773
; wb_adr_i[5] ; wb_dat_o[30] ; 12.401 ; 12.175 ; 12.503 ; 12.748 ;
4774
; wb_adr_i[5] ; wb_dat_o[31] ; 11.947 ; 11.389 ; 11.797 ; 12.218 ;
4775
; wb_cyc_i    ; wb_dat_o[0]  ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
4776
; wb_cyc_i    ; wb_dat_o[1]  ; 7.843  ; 7.686  ; 8.326  ; 8.169  ;
4777
; wb_cyc_i    ; wb_dat_o[2]  ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
4778
; wb_cyc_i    ; wb_dat_o[3]  ; 8.300  ; 8.143  ; 8.775  ; 8.618  ;
4779
; wb_cyc_i    ; wb_dat_o[4]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
4780
; wb_cyc_i    ; wb_dat_o[5]  ; 9.750  ; 9.593  ; 10.323 ; 10.166 ;
4781
; wb_cyc_i    ; wb_dat_o[6]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
4782
; wb_cyc_i    ; wb_dat_o[7]  ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
4783
; wb_cyc_i    ; wb_dat_o[8]  ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
4784
; wb_cyc_i    ; wb_dat_o[9]  ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
4785
; wb_cyc_i    ; wb_dat_o[10] ; 9.767  ; 9.645  ; 10.400 ; 10.278 ;
4786
; wb_cyc_i    ; wb_dat_o[11] ; 9.681  ; 9.524  ; 10.225 ; 10.068 ;
4787
; wb_cyc_i    ; wb_dat_o[12] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
4788
; wb_cyc_i    ; wb_dat_o[13] ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
4789
; wb_cyc_i    ; wb_dat_o[14] ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
4790
; wb_cyc_i    ; wb_dat_o[15] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
4791
; wb_cyc_i    ; wb_dat_o[16] ; 9.534  ; 9.386  ; 10.081 ; 9.933  ;
4792
; wb_cyc_i    ; wb_dat_o[17] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
4793
; wb_cyc_i    ; wb_dat_o[18] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
4794
; wb_cyc_i    ; wb_dat_o[19] ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
4795
; wb_cyc_i    ; wb_dat_o[20] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
4796
; wb_cyc_i    ; wb_dat_o[21] ; 8.467  ; 8.319  ; 8.952  ; 8.804  ;
4797
; wb_cyc_i    ; wb_dat_o[22] ; 9.319  ; 9.223  ; 9.804  ; 9.708  ;
4798
; wb_cyc_i    ; wb_dat_o[23] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
4799
; wb_cyc_i    ; wb_dat_o[24] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
4800
; wb_cyc_i    ; wb_dat_o[25] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
4801
; wb_cyc_i    ; wb_dat_o[26] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
4802
; wb_cyc_i    ; wb_dat_o[27] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
4803
; wb_cyc_i    ; wb_dat_o[28] ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
4804
; wb_cyc_i    ; wb_dat_o[29] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
4805
; wb_cyc_i    ; wb_dat_o[30] ; 10.038 ; 9.916  ; 10.665 ; 10.543 ;
4806
; wb_cyc_i    ; wb_dat_o[31] ; 8.786  ; 8.629  ; 9.277  ; 9.120  ;
4807
; wb_stb_i    ; wb_dat_o[0]  ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
4808
; wb_stb_i    ; wb_dat_o[1]  ; 8.092  ; 7.935  ; 8.610  ; 8.453  ;
4809
; wb_stb_i    ; wb_dat_o[2]  ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
4810
; wb_stb_i    ; wb_dat_o[3]  ; 8.549  ; 8.392  ; 9.059  ; 8.902  ;
4811
; wb_stb_i    ; wb_dat_o[4]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
4812
; wb_stb_i    ; wb_dat_o[5]  ; 9.999  ; 9.842  ; 10.607 ; 10.450 ;
4813
; wb_stb_i    ; wb_dat_o[6]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
4814
; wb_stb_i    ; wb_dat_o[7]  ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
4815
; wb_stb_i    ; wb_dat_o[8]  ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
4816
; wb_stb_i    ; wb_dat_o[9]  ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
4817
; wb_stb_i    ; wb_dat_o[10] ; 10.016 ; 9.894  ; 10.684 ; 10.562 ;
4818
; wb_stb_i    ; wb_dat_o[11] ; 9.930  ; 9.773  ; 10.509 ; 10.352 ;
4819
; wb_stb_i    ; wb_dat_o[12] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
4820
; wb_stb_i    ; wb_dat_o[13] ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
4821
; wb_stb_i    ; wb_dat_o[14] ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
4822
; wb_stb_i    ; wb_dat_o[15] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
4823
; wb_stb_i    ; wb_dat_o[16] ; 9.783  ; 9.635  ; 10.365 ; 10.217 ;
4824
; wb_stb_i    ; wb_dat_o[17] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
4825
; wb_stb_i    ; wb_dat_o[18] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
4826
; wb_stb_i    ; wb_dat_o[19] ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
4827
; wb_stb_i    ; wb_dat_o[20] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
4828
; wb_stb_i    ; wb_dat_o[21] ; 8.716  ; 8.568  ; 9.236  ; 9.088  ;
4829
; wb_stb_i    ; wb_dat_o[22] ; 9.568  ; 9.472  ; 10.088 ; 9.992  ;
4830
; wb_stb_i    ; wb_dat_o[23] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
4831
; wb_stb_i    ; wb_dat_o[24] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
4832
; wb_stb_i    ; wb_dat_o[25] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
4833
; wb_stb_i    ; wb_dat_o[26] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
4834
; wb_stb_i    ; wb_dat_o[27] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
4835
; wb_stb_i    ; wb_dat_o[28] ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
4836
; wb_stb_i    ; wb_dat_o[29] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
4837
; wb_stb_i    ; wb_dat_o[30] ; 10.287 ; 10.165 ; 10.949 ; 10.827 ;
4838
; wb_stb_i    ; wb_dat_o[31] ; 9.035  ; 8.878  ; 9.561  ; 9.404  ;
4839
; wb_we_i     ; wb_dat_o[0]  ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
4840
; wb_we_i     ; wb_dat_o[1]  ; 7.660  ; 7.503  ; 8.091  ; 7.934  ;
4841
; wb_we_i     ; wb_dat_o[2]  ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
4842
; wb_we_i     ; wb_dat_o[3]  ; 8.109  ; 7.952  ; 8.548  ; 8.391  ;
4843
; wb_we_i     ; wb_dat_o[4]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
4844
; wb_we_i     ; wb_dat_o[5]  ; 9.657  ; 9.500  ; 9.998  ; 9.841  ;
4845
; wb_we_i     ; wb_dat_o[6]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
4846
; wb_we_i     ; wb_dat_o[7]  ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
4847
; wb_we_i     ; wb_dat_o[8]  ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
4848
; wb_we_i     ; wb_dat_o[9]  ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
4849
; wb_we_i     ; wb_dat_o[10] ; 9.734  ; 9.612  ; 10.015 ; 9.893  ;
4850
; wb_we_i     ; wb_dat_o[11] ; 9.559  ; 9.402  ; 9.929  ; 9.772  ;
4851
; wb_we_i     ; wb_dat_o[12] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
4852
; wb_we_i     ; wb_dat_o[13] ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
4853
; wb_we_i     ; wb_dat_o[14] ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
4854
; wb_we_i     ; wb_dat_o[15] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
4855
; wb_we_i     ; wb_dat_o[16] ; 9.415  ; 9.267  ; 9.782  ; 9.634  ;
4856
; wb_we_i     ; wb_dat_o[17] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
4857
; wb_we_i     ; wb_dat_o[18] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
4858
; wb_we_i     ; wb_dat_o[19] ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
4859
; wb_we_i     ; wb_dat_o[20] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
4860
; wb_we_i     ; wb_dat_o[21] ; 8.286  ; 8.138  ; 8.715  ; 8.567  ;
4861
; wb_we_i     ; wb_dat_o[22] ; 9.138  ; 9.042  ; 9.567  ; 9.471  ;
4862
; wb_we_i     ; wb_dat_o[23] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
4863
; wb_we_i     ; wb_dat_o[24] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
4864
; wb_we_i     ; wb_dat_o[25] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
4865
; wb_we_i     ; wb_dat_o[26] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
4866
; wb_we_i     ; wb_dat_o[27] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
4867
; wb_we_i     ; wb_dat_o[28] ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
4868
; wb_we_i     ; wb_dat_o[29] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
4869
; wb_we_i     ; wb_dat_o[30] ; 9.999  ; 9.877  ; 10.286 ; 10.164 ;
4870
; wb_we_i     ; wb_dat_o[31] ; 8.611  ; 8.454  ; 9.034  ; 8.877  ;
4871
+-------------+--------------+--------+--------+--------+--------+
4872
 
4873
 
4874
+------------------------------------------------------------+
4875
; Minimum Propagation Delay                                  ;
4876
+-------------+--------------+-------+-------+-------+-------+
4877
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
4878
+-------------+--------------+-------+-------+-------+-------+
4879
; wb_adr_i[0] ; wb_dat_o[0]  ; 4.925 ; 5.192 ; 5.681 ; 5.606 ;
4880
; wb_adr_i[0] ; wb_dat_o[1]  ; 4.817 ; 5.417 ; 5.874 ; 5.484 ;
4881
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.566 ; 5.753 ; 6.154 ; 6.294 ;
4882
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.185 ; 5.560 ; 6.008 ; 5.886 ;
4883
; wb_adr_i[0] ; wb_dat_o[4]  ; 5.518 ; 6.271 ; 6.630 ; 6.247 ;
4884
; wb_adr_i[0] ; wb_dat_o[5]  ; 5.045 ; 6.130 ; 6.520 ; 5.732 ;
4885
; wb_adr_i[0] ; wb_dat_o[6]  ; 5.401 ; 6.304 ; 6.663 ; 6.137 ;
4886
; wb_adr_i[0] ; wb_dat_o[7]  ; 5.888 ; 6.362 ; 6.757 ; 6.634 ;
4887
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.329 ; 5.594 ; 6.030 ; 6.069 ;
4888
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.450 ; 6.601 ; 6.910 ; 7.111 ;
4889
; wb_adr_i[0] ; wb_dat_o[10] ; 6.292 ; 6.420 ; 6.811 ; 6.946 ;
4890
; wb_adr_i[0] ; wb_dat_o[11] ; 5.946 ; 6.030 ; 6.437 ; 6.616 ;
4891
; wb_adr_i[0] ; wb_dat_o[12] ; 4.995 ; 5.025 ; 5.514 ; 5.551 ;
4892
; wb_adr_i[0] ; wb_dat_o[13] ; 5.004 ; 5.036 ; 5.523 ; 5.562 ;
4893
; wb_adr_i[0] ; wb_dat_o[14] ; 5.887 ; 6.048 ; 6.406 ; 6.574 ;
4894
; wb_adr_i[0] ; wb_dat_o[15] ; 5.963 ; 6.061 ; 6.454 ; 6.648 ;
4895
; wb_adr_i[0] ; wb_dat_o[16] ; 5.493 ; 5.598 ; 6.012 ; 6.124 ;
4896
; wb_adr_i[0] ; wb_dat_o[17] ; 5.880 ; 5.953 ; 6.370 ; 6.536 ;
4897
; wb_adr_i[0] ; wb_dat_o[18] ; 6.322 ; 6.466 ; 6.857 ; 7.036 ;
4898
; wb_adr_i[0] ; wb_dat_o[19] ; 4.808 ; 4.817 ; 5.327 ; 5.343 ;
4899
; wb_adr_i[0] ; wb_dat_o[20] ; 5.204 ; 5.270 ; 5.724 ; 5.797 ;
4900
; wb_adr_i[0] ; wb_dat_o[21] ; 5.176 ; 5.129 ; 5.667 ; 5.719 ;
4901
; wb_adr_i[0] ; wb_dat_o[22] ; 5.547 ; 5.580 ; 6.069 ; 6.109 ;
4902
; wb_adr_i[0] ; wb_dat_o[23] ; 5.133 ; 5.176 ; 5.652 ; 5.702 ;
4903
; wb_adr_i[0] ; wb_dat_o[24] ; 5.134 ; 5.184 ; 5.656 ; 5.713 ;
4904
; wb_adr_i[0] ; wb_dat_o[25] ; 6.147 ; 6.332 ; 6.666 ; 6.858 ;
4905
; wb_adr_i[0] ; wb_dat_o[26] ; 5.607 ; 5.692 ; 6.141 ; 6.233 ;
4906
; wb_adr_i[0] ; wb_dat_o[27] ; 5.358 ; 5.475 ; 5.892 ; 6.016 ;
4907
; wb_adr_i[0] ; wb_dat_o[28] ; 5.540 ; 5.605 ; 6.074 ; 6.146 ;
4908
; wb_adr_i[0] ; wb_dat_o[29] ; 5.827 ; 5.863 ; 6.337 ; 6.451 ;
4909
; wb_adr_i[0] ; wb_dat_o[30] ; 5.759 ; 5.822 ; 6.262 ; 6.305 ;
4910
; wb_adr_i[0] ; wb_dat_o[31] ; 5.311 ; 5.376 ; 5.801 ; 5.873 ;
4911
; wb_adr_i[1] ; wb_dat_o[0]  ; 4.978 ; 5.189 ; 5.828 ; 5.710 ;
4912
; wb_adr_i[1] ; wb_dat_o[1]  ; 4.870 ; 5.419 ; 6.016 ; 5.588 ;
4913
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.615 ; 5.755 ; 6.296 ; 6.429 ;
4914
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.238 ; 5.562 ; 6.150 ; 5.990 ;
4915
; wb_adr_i[1] ; wb_dat_o[4]  ; 5.571 ; 6.232 ; 6.813 ; 6.351 ;
4916
; wb_adr_i[1] ; wb_dat_o[5]  ; 5.098 ; 6.102 ; 6.735 ; 5.836 ;
4917
; wb_adr_i[1] ; wb_dat_o[6]  ; 5.454 ; 6.263 ; 6.848 ; 6.241 ;
4918
; wb_adr_i[1] ; wb_dat_o[7]  ; 5.941 ; 6.322 ; 6.941 ; 6.738 ;
4919
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.382 ; 5.530 ; 6.201 ; 6.173 ;
4920
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.371 ; 6.572 ; 7.126 ; 7.277 ;
4921
; wb_adr_i[1] ; wb_dat_o[10] ; 6.272 ; 6.407 ; 6.968 ; 7.096 ;
4922
; wb_adr_i[1] ; wb_dat_o[11] ; 5.898 ; 6.077 ; 6.622 ; 6.748 ;
4923
; wb_adr_i[1] ; wb_dat_o[12] ; 4.975 ; 5.012 ; 5.671 ; 5.701 ;
4924
; wb_adr_i[1] ; wb_dat_o[13] ; 4.984 ; 5.023 ; 5.680 ; 5.712 ;
4925
; wb_adr_i[1] ; wb_dat_o[14] ; 5.867 ; 6.035 ; 6.563 ; 6.724 ;
4926
; wb_adr_i[1] ; wb_dat_o[15] ; 5.915 ; 6.109 ; 6.639 ; 6.780 ;
4927
; wb_adr_i[1] ; wb_dat_o[16] ; 5.473 ; 5.585 ; 6.169 ; 6.274 ;
4928
; wb_adr_i[1] ; wb_dat_o[17] ; 5.831 ; 5.997 ; 6.556 ; 6.669 ;
4929
; wb_adr_i[1] ; wb_dat_o[18] ; 6.318 ; 6.497 ; 6.998 ; 7.206 ;
4930
; wb_adr_i[1] ; wb_dat_o[19] ; 4.788 ; 4.804 ; 5.484 ; 5.493 ;
4931
; wb_adr_i[1] ; wb_dat_o[20] ; 5.185 ; 5.258 ; 5.880 ; 5.946 ;
4932
; wb_adr_i[1] ; wb_dat_o[21] ; 5.128 ; 5.180 ; 5.852 ; 5.851 ;
4933
; wb_adr_i[1] ; wb_dat_o[22] ; 5.530 ; 5.570 ; 6.223 ; 6.256 ;
4934
; wb_adr_i[1] ; wb_dat_o[23] ; 5.113 ; 5.163 ; 5.809 ; 5.852 ;
4935
; wb_adr_i[1] ; wb_dat_o[24] ; 5.117 ; 5.174 ; 5.810 ; 5.860 ;
4936
; wb_adr_i[1] ; wb_dat_o[25] ; 6.127 ; 6.319 ; 6.823 ; 7.008 ;
4937
; wb_adr_i[1] ; wb_dat_o[26] ; 5.602 ; 5.694 ; 6.283 ; 6.368 ;
4938
; wb_adr_i[1] ; wb_dat_o[27] ; 5.353 ; 5.477 ; 6.034 ; 6.151 ;
4939
; wb_adr_i[1] ; wb_dat_o[28] ; 5.535 ; 5.607 ; 6.216 ; 6.281 ;
4940
; wb_adr_i[1] ; wb_dat_o[29] ; 5.798 ; 5.912 ; 6.503 ; 6.564 ;
4941
; wb_adr_i[1] ; wb_dat_o[30] ; 5.723 ; 5.766 ; 6.435 ; 6.509 ;
4942
; wb_adr_i[1] ; wb_dat_o[31] ; 5.262 ; 5.334 ; 5.987 ; 6.052 ;
4943
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.208 ; 6.089 ; 6.375 ; 6.586 ;
4944
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.396 ; 5.967 ; 6.267 ; 6.816 ;
4945
; wb_adr_i[2] ; wb_dat_o[2]  ; 6.676 ; 6.809 ; 7.012 ; 7.152 ;
4946
; wb_adr_i[2] ; wb_dat_o[3]  ; 6.530 ; 6.369 ; 6.635 ; 6.959 ;
4947
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.193 ; 6.730 ; 6.968 ; 7.629 ;
4948
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.115 ; 6.215 ; 6.495 ; 7.499 ;
4949
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.228 ; 6.620 ; 6.851 ; 7.660 ;
4950
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.321 ; 7.117 ; 7.338 ; 7.719 ;
4951
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.581 ; 6.552 ; 6.779 ; 6.927 ;
4952
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.506 ; 7.657 ; 7.768 ; 7.969 ;
4953
; wb_adr_i[2] ; wb_dat_o[10] ; 7.348 ; 7.476 ; 7.669 ; 7.804 ;
4954
; wb_adr_i[2] ; wb_dat_o[11] ; 7.002 ; 7.128 ; 7.295 ; 7.474 ;
4955
; wb_adr_i[2] ; wb_dat_o[12] ; 6.051 ; 6.081 ; 6.372 ; 6.409 ;
4956
; wb_adr_i[2] ; wb_dat_o[13] ; 6.060 ; 6.092 ; 6.381 ; 6.420 ;
4957
; wb_adr_i[2] ; wb_dat_o[14] ; 6.943 ; 7.104 ; 7.264 ; 7.432 ;
4958
; wb_adr_i[2] ; wb_dat_o[15] ; 7.019 ; 7.160 ; 7.312 ; 7.506 ;
4959
; wb_adr_i[2] ; wb_dat_o[16] ; 6.549 ; 6.654 ; 6.870 ; 6.982 ;
4960
; wb_adr_i[2] ; wb_dat_o[17] ; 6.936 ; 7.049 ; 7.228 ; 7.394 ;
4961
; wb_adr_i[2] ; wb_dat_o[18] ; 7.378 ; 7.586 ; 7.715 ; 7.894 ;
4962
; wb_adr_i[2] ; wb_dat_o[19] ; 5.864 ; 5.873 ; 6.185 ; 6.201 ;
4963
; wb_adr_i[2] ; wb_dat_o[20] ; 6.260 ; 6.326 ; 6.582 ; 6.655 ;
4964
; wb_adr_i[2] ; wb_dat_o[21] ; 6.232 ; 6.231 ; 6.525 ; 6.577 ;
4965
; wb_adr_i[2] ; wb_dat_o[22] ; 6.603 ; 6.636 ; 6.927 ; 6.967 ;
4966
; wb_adr_i[2] ; wb_dat_o[23] ; 6.189 ; 6.232 ; 6.510 ; 6.560 ;
4967
; wb_adr_i[2] ; wb_dat_o[24] ; 6.190 ; 6.240 ; 6.514 ; 6.571 ;
4968
; wb_adr_i[2] ; wb_dat_o[25] ; 7.203 ; 7.388 ; 7.524 ; 7.716 ;
4969
; wb_adr_i[2] ; wb_dat_o[26] ; 6.663 ; 6.748 ; 6.999 ; 7.091 ;
4970
; wb_adr_i[2] ; wb_dat_o[27] ; 6.414 ; 6.531 ; 6.750 ; 6.874 ;
4971
; wb_adr_i[2] ; wb_dat_o[28] ; 6.596 ; 6.661 ; 6.932 ; 7.004 ;
4972
; wb_adr_i[2] ; wb_dat_o[29] ; 6.883 ; 6.944 ; 7.195 ; 7.309 ;
4973
; wb_adr_i[2] ; wb_dat_o[30] ; 6.815 ; 6.889 ; 7.120 ; 7.163 ;
4974
; wb_adr_i[2] ; wb_dat_o[31] ; 6.367 ; 6.432 ; 6.659 ; 6.731 ;
4975
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.119 ; 6.000 ; 6.273 ; 6.484 ;
4976
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.307 ; 5.878 ; 6.165 ; 6.714 ;
4977
; wb_adr_i[3] ; wb_dat_o[2]  ; 6.587 ; 6.720 ; 6.910 ; 7.050 ;
4978
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.441 ; 6.280 ; 6.533 ; 6.857 ;
4979
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.104 ; 6.641 ; 6.866 ; 7.527 ;
4980
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.026 ; 6.126 ; 6.393 ; 7.397 ;
4981
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.139 ; 6.531 ; 6.749 ; 7.558 ;
4982
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.232 ; 7.028 ; 7.236 ; 7.617 ;
4983
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.492 ; 6.463 ; 6.677 ; 6.825 ;
4984
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.417 ; 7.568 ; 7.666 ; 7.867 ;
4985
; wb_adr_i[3] ; wb_dat_o[10] ; 7.259 ; 7.387 ; 7.567 ; 7.702 ;
4986
; wb_adr_i[3] ; wb_dat_o[11] ; 6.913 ; 7.039 ; 7.193 ; 7.372 ;
4987
; wb_adr_i[3] ; wb_dat_o[12] ; 5.962 ; 5.992 ; 6.270 ; 6.307 ;
4988
; wb_adr_i[3] ; wb_dat_o[13] ; 5.971 ; 6.003 ; 6.279 ; 6.318 ;
4989
; wb_adr_i[3] ; wb_dat_o[14] ; 6.854 ; 7.015 ; 7.162 ; 7.330 ;
4990
; wb_adr_i[3] ; wb_dat_o[15] ; 6.930 ; 7.071 ; 7.210 ; 7.404 ;
4991
; wb_adr_i[3] ; wb_dat_o[16] ; 6.460 ; 6.565 ; 6.768 ; 6.880 ;
4992
; wb_adr_i[3] ; wb_dat_o[17] ; 6.847 ; 6.960 ; 7.126 ; 7.292 ;
4993
; wb_adr_i[3] ; wb_dat_o[18] ; 7.289 ; 7.497 ; 7.613 ; 7.792 ;
4994
; wb_adr_i[3] ; wb_dat_o[19] ; 5.775 ; 5.784 ; 6.083 ; 6.099 ;
4995
; wb_adr_i[3] ; wb_dat_o[20] ; 6.171 ; 6.237 ; 6.480 ; 6.553 ;
4996
; wb_adr_i[3] ; wb_dat_o[21] ; 6.143 ; 6.142 ; 6.423 ; 6.475 ;
4997
; wb_adr_i[3] ; wb_dat_o[22] ; 6.514 ; 6.547 ; 6.825 ; 6.865 ;
4998
; wb_adr_i[3] ; wb_dat_o[23] ; 6.100 ; 6.143 ; 6.408 ; 6.458 ;
4999
; wb_adr_i[3] ; wb_dat_o[24] ; 6.101 ; 6.151 ; 6.412 ; 6.469 ;
5000
; wb_adr_i[3] ; wb_dat_o[25] ; 7.114 ; 7.299 ; 7.422 ; 7.614 ;
5001
; wb_adr_i[3] ; wb_dat_o[26] ; 6.574 ; 6.659 ; 6.897 ; 6.989 ;
5002
; wb_adr_i[3] ; wb_dat_o[27] ; 6.325 ; 6.442 ; 6.648 ; 6.772 ;
5003
; wb_adr_i[3] ; wb_dat_o[28] ; 6.507 ; 6.572 ; 6.830 ; 6.902 ;
5004
; wb_adr_i[3] ; wb_dat_o[29] ; 6.794 ; 6.855 ; 7.093 ; 7.207 ;
5005
; wb_adr_i[3] ; wb_dat_o[30] ; 6.726 ; 6.800 ; 7.018 ; 7.061 ;
5006
; wb_adr_i[3] ; wb_dat_o[31] ; 6.278 ; 6.343 ; 6.557 ; 6.629 ;
5007
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.195 ; 6.076 ; 6.375 ; 6.586 ;
5008
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.383 ; 5.954 ; 6.267 ; 6.816 ;
5009
; wb_adr_i[4] ; wb_dat_o[2]  ; 6.663 ; 6.796 ; 7.012 ; 7.152 ;
5010
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.517 ; 6.356 ; 6.635 ; 6.959 ;
5011
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.180 ; 6.717 ; 6.968 ; 7.629 ;
5012
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.102 ; 6.202 ; 6.495 ; 7.499 ;
5013
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.215 ; 6.607 ; 6.851 ; 7.660 ;
5014
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.308 ; 7.104 ; 7.338 ; 7.719 ;
5015
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.568 ; 6.539 ; 6.779 ; 6.927 ;
5016
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.493 ; 7.644 ; 7.768 ; 7.969 ;
5017
; wb_adr_i[4] ; wb_dat_o[10] ; 7.335 ; 7.463 ; 7.669 ; 7.804 ;
5018
; wb_adr_i[4] ; wb_dat_o[11] ; 6.989 ; 7.115 ; 7.295 ; 7.474 ;
5019
; wb_adr_i[4] ; wb_dat_o[12] ; 6.038 ; 6.068 ; 6.372 ; 6.409 ;
5020
; wb_adr_i[4] ; wb_dat_o[13] ; 6.047 ; 6.079 ; 6.381 ; 6.420 ;
5021
; wb_adr_i[4] ; wb_dat_o[14] ; 6.930 ; 7.091 ; 7.264 ; 7.432 ;
5022
; wb_adr_i[4] ; wb_dat_o[15] ; 7.006 ; 7.147 ; 7.312 ; 7.506 ;
5023
; wb_adr_i[4] ; wb_dat_o[16] ; 6.536 ; 6.641 ; 6.870 ; 6.982 ;
5024
; wb_adr_i[4] ; wb_dat_o[17] ; 6.923 ; 7.036 ; 7.228 ; 7.394 ;
5025
; wb_adr_i[4] ; wb_dat_o[18] ; 7.365 ; 7.573 ; 7.715 ; 7.894 ;
5026
; wb_adr_i[4] ; wb_dat_o[19] ; 5.851 ; 5.860 ; 6.185 ; 6.201 ;
5027
; wb_adr_i[4] ; wb_dat_o[20] ; 6.247 ; 6.313 ; 6.582 ; 6.655 ;
5028
; wb_adr_i[4] ; wb_dat_o[21] ; 6.219 ; 6.218 ; 6.525 ; 6.577 ;
5029
; wb_adr_i[4] ; wb_dat_o[22] ; 6.590 ; 6.623 ; 6.927 ; 6.967 ;
5030
; wb_adr_i[4] ; wb_dat_o[23] ; 6.176 ; 6.219 ; 6.510 ; 6.560 ;
5031
; wb_adr_i[4] ; wb_dat_o[24] ; 6.177 ; 6.227 ; 6.514 ; 6.571 ;
5032
; wb_adr_i[4] ; wb_dat_o[25] ; 7.190 ; 7.375 ; 7.524 ; 7.716 ;
5033
; wb_adr_i[4] ; wb_dat_o[26] ; 6.650 ; 6.735 ; 6.999 ; 7.091 ;
5034
; wb_adr_i[4] ; wb_dat_o[27] ; 6.401 ; 6.518 ; 6.750 ; 6.874 ;
5035
; wb_adr_i[4] ; wb_dat_o[28] ; 6.583 ; 6.648 ; 6.932 ; 7.004 ;
5036
; wb_adr_i[4] ; wb_dat_o[29] ; 6.870 ; 6.931 ; 7.195 ; 7.309 ;
5037
; wb_adr_i[4] ; wb_dat_o[30] ; 6.802 ; 6.876 ; 7.120 ; 7.163 ;
5038
; wb_adr_i[4] ; wb_dat_o[31] ; 6.354 ; 6.419 ; 6.659 ; 6.731 ;
5039
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.361 ; 6.242 ; 6.543 ; 6.754 ;
5040
; wb_adr_i[5] ; wb_dat_o[1]  ; 6.549 ; 6.120 ; 6.435 ; 6.984 ;
5041
; wb_adr_i[5] ; wb_dat_o[2]  ; 6.829 ; 6.962 ; 7.180 ; 7.320 ;
5042
; wb_adr_i[5] ; wb_dat_o[3]  ; 6.683 ; 6.522 ; 6.803 ; 7.127 ;
5043
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.346 ; 6.883 ; 7.136 ; 7.797 ;
5044
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.268 ; 6.368 ; 6.663 ; 7.667 ;
5045
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.381 ; 6.773 ; 7.019 ; 7.828 ;
5046
; wb_adr_i[5] ; wb_dat_o[7]  ; 7.474 ; 7.270 ; 7.506 ; 7.887 ;
5047
; wb_adr_i[5] ; wb_dat_o[8]  ; 6.734 ; 6.705 ; 6.947 ; 7.095 ;
5048
; wb_adr_i[5] ; wb_dat_o[9]  ; 7.659 ; 7.810 ; 7.936 ; 8.137 ;
5049
; wb_adr_i[5] ; wb_dat_o[10] ; 7.501 ; 7.629 ; 7.837 ; 7.972 ;
5050
; wb_adr_i[5] ; wb_dat_o[11] ; 7.155 ; 7.281 ; 7.463 ; 7.642 ;
5051
; wb_adr_i[5] ; wb_dat_o[12] ; 6.204 ; 6.234 ; 6.540 ; 6.577 ;
5052
; wb_adr_i[5] ; wb_dat_o[13] ; 6.213 ; 6.245 ; 6.549 ; 6.588 ;
5053
; wb_adr_i[5] ; wb_dat_o[14] ; 7.096 ; 7.257 ; 7.432 ; 7.600 ;
5054
; wb_adr_i[5] ; wb_dat_o[15] ; 7.172 ; 7.313 ; 7.480 ; 7.674 ;
5055
; wb_adr_i[5] ; wb_dat_o[16] ; 6.702 ; 6.807 ; 7.038 ; 7.150 ;
5056
; wb_adr_i[5] ; wb_dat_o[17] ; 7.089 ; 7.202 ; 7.396 ; 7.562 ;
5057
; wb_adr_i[5] ; wb_dat_o[18] ; 7.531 ; 7.739 ; 7.883 ; 8.062 ;
5058
; wb_adr_i[5] ; wb_dat_o[19] ; 6.017 ; 6.026 ; 6.353 ; 6.369 ;
5059
; wb_adr_i[5] ; wb_dat_o[20] ; 6.413 ; 6.479 ; 6.750 ; 6.823 ;
5060
; wb_adr_i[5] ; wb_dat_o[21] ; 6.385 ; 6.384 ; 6.693 ; 6.745 ;
5061
; wb_adr_i[5] ; wb_dat_o[22] ; 6.756 ; 6.789 ; 7.095 ; 7.135 ;
5062
; wb_adr_i[5] ; wb_dat_o[23] ; 6.342 ; 6.385 ; 6.678 ; 6.728 ;
5063
; wb_adr_i[5] ; wb_dat_o[24] ; 6.343 ; 6.393 ; 6.682 ; 6.739 ;
5064
; wb_adr_i[5] ; wb_dat_o[25] ; 7.356 ; 7.541 ; 7.692 ; 7.884 ;
5065
; wb_adr_i[5] ; wb_dat_o[26] ; 6.816 ; 6.901 ; 7.167 ; 7.259 ;
5066
; wb_adr_i[5] ; wb_dat_o[27] ; 6.567 ; 6.684 ; 6.918 ; 7.042 ;
5067
; wb_adr_i[5] ; wb_dat_o[28] ; 6.749 ; 6.814 ; 7.100 ; 7.172 ;
5068
; wb_adr_i[5] ; wb_dat_o[29] ; 7.036 ; 7.097 ; 7.363 ; 7.477 ;
5069
; wb_adr_i[5] ; wb_dat_o[30] ; 6.968 ; 7.042 ; 7.288 ; 7.331 ;
5070
; wb_adr_i[5] ; wb_dat_o[31] ; 6.520 ; 6.585 ; 6.827 ; 6.899 ;
5071
; wb_cyc_i    ; wb_dat_o[0]  ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
5072
; wb_cyc_i    ; wb_dat_o[1]  ; 4.396 ; 4.356 ; 5.058 ; 5.018 ;
5073
; wb_cyc_i    ; wb_dat_o[2]  ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
5074
; wb_cyc_i    ; wb_dat_o[3]  ; 4.636 ; 4.596 ; 5.328 ; 5.288 ;
5075
; wb_cyc_i    ; wb_dat_o[4]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
5076
; wb_cyc_i    ; wb_dat_o[5]  ; 5.441 ; 5.401 ; 6.261 ; 6.221 ;
5077
; wb_cyc_i    ; wb_dat_o[6]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
5078
; wb_cyc_i    ; wb_dat_o[7]  ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
5079
; wb_cyc_i    ; wb_dat_o[8]  ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
5080
; wb_cyc_i    ; wb_dat_o[9]  ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
5081
; wb_cyc_i    ; wb_dat_o[10] ; 5.548 ; 5.466 ; 6.383 ; 6.301 ;
5082
; wb_cyc_i    ; wb_dat_o[11] ; 5.395 ; 5.355 ; 6.195 ; 6.155 ;
5083
; wb_cyc_i    ; wb_dat_o[12] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
5084
; wb_cyc_i    ; wb_dat_o[13] ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
5085
; wb_cyc_i    ; wb_dat_o[14] ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
5086
; wb_cyc_i    ; wb_dat_o[15] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
5087
; wb_cyc_i    ; wb_dat_o[16] ; 5.310 ; 5.265 ; 6.105 ; 6.060 ;
5088
; wb_cyc_i    ; wb_dat_o[17] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
5089
; wb_cyc_i    ; wb_dat_o[18] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
5090
; wb_cyc_i    ; wb_dat_o[19] ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
5091
; wb_cyc_i    ; wb_dat_o[20] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
5092
; wb_cyc_i    ; wb_dat_o[21] ; 4.726 ; 4.681 ; 5.443 ; 5.398 ;
5093
; wb_cyc_i    ; wb_dat_o[22] ; 5.361 ; 5.317 ; 6.078 ; 6.034 ;
5094
; wb_cyc_i    ; wb_dat_o[23] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
5095
; wb_cyc_i    ; wb_dat_o[24] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
5096
; wb_cyc_i    ; wb_dat_o[25] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
5097
; wb_cyc_i    ; wb_dat_o[26] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
5098
; wb_cyc_i    ; wb_dat_o[27] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
5099
; wb_cyc_i    ; wb_dat_o[28] ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
5100
; wb_cyc_i    ; wb_dat_o[29] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
5101
; wb_cyc_i    ; wb_dat_o[30] ; 5.689 ; 5.607 ; 6.540 ; 6.458 ;
5102
; wb_cyc_i    ; wb_dat_o[31] ; 4.909 ; 4.869 ; 5.640 ; 5.600 ;
5103
; wb_stb_i    ; wb_dat_o[0]  ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
5104
; wb_stb_i    ; wb_dat_o[1]  ; 4.537 ; 4.497 ; 5.208 ; 5.168 ;
5105
; wb_stb_i    ; wb_dat_o[2]  ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
5106
; wb_stb_i    ; wb_dat_o[3]  ; 4.777 ; 4.737 ; 5.478 ; 5.438 ;
5107
; wb_stb_i    ; wb_dat_o[4]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
5108
; wb_stb_i    ; wb_dat_o[5]  ; 5.582 ; 5.542 ; 6.411 ; 6.371 ;
5109
; wb_stb_i    ; wb_dat_o[6]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
5110
; wb_stb_i    ; wb_dat_o[7]  ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
5111
; wb_stb_i    ; wb_dat_o[8]  ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
5112
; wb_stb_i    ; wb_dat_o[9]  ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
5113
; wb_stb_i    ; wb_dat_o[10] ; 5.689 ; 5.607 ; 6.533 ; 6.451 ;
5114
; wb_stb_i    ; wb_dat_o[11] ; 5.536 ; 5.496 ; 6.345 ; 6.305 ;
5115
; wb_stb_i    ; wb_dat_o[12] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
5116
; wb_stb_i    ; wb_dat_o[13] ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
5117
; wb_stb_i    ; wb_dat_o[14] ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
5118
; wb_stb_i    ; wb_dat_o[15] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
5119
; wb_stb_i    ; wb_dat_o[16] ; 5.451 ; 5.406 ; 6.255 ; 6.210 ;
5120
; wb_stb_i    ; wb_dat_o[17] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
5121
; wb_stb_i    ; wb_dat_o[18] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
5122
; wb_stb_i    ; wb_dat_o[19] ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
5123
; wb_stb_i    ; wb_dat_o[20] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
5124
; wb_stb_i    ; wb_dat_o[21] ; 4.867 ; 4.822 ; 5.593 ; 5.548 ;
5125
; wb_stb_i    ; wb_dat_o[22] ; 5.502 ; 5.458 ; 6.228 ; 6.184 ;
5126
; wb_stb_i    ; wb_dat_o[23] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
5127
; wb_stb_i    ; wb_dat_o[24] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
5128
; wb_stb_i    ; wb_dat_o[25] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
5129
; wb_stb_i    ; wb_dat_o[26] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
5130
; wb_stb_i    ; wb_dat_o[27] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
5131
; wb_stb_i    ; wb_dat_o[28] ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
5132
; wb_stb_i    ; wb_dat_o[29] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
5133
; wb_stb_i    ; wb_dat_o[30] ; 5.830 ; 5.748 ; 6.690 ; 6.608 ;
5134
; wb_stb_i    ; wb_dat_o[31] ; 5.050 ; 5.010 ; 5.790 ; 5.750 ;
5135
; wb_we_i     ; wb_dat_o[0]  ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
5136
; wb_we_i     ; wb_dat_o[1]  ; 4.356 ; 4.316 ; 4.869 ; 4.829 ;
5137
; wb_we_i     ; wb_dat_o[2]  ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
5138
; wb_we_i     ; wb_dat_o[3]  ; 4.626 ; 4.586 ; 5.109 ; 5.069 ;
5139
; wb_we_i     ; wb_dat_o[4]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
5140
; wb_we_i     ; wb_dat_o[5]  ; 5.559 ; 5.519 ; 5.914 ; 5.874 ;
5141
; wb_we_i     ; wb_dat_o[6]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
5142
; wb_we_i     ; wb_dat_o[7]  ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
5143
; wb_we_i     ; wb_dat_o[8]  ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
5144
; wb_we_i     ; wb_dat_o[9]  ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
5145
; wb_we_i     ; wb_dat_o[10] ; 5.681 ; 5.599 ; 6.021 ; 5.939 ;
5146
; wb_we_i     ; wb_dat_o[11] ; 5.493 ; 5.453 ; 5.868 ; 5.828 ;
5147
; wb_we_i     ; wb_dat_o[12] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
5148
; wb_we_i     ; wb_dat_o[13] ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
5149
; wb_we_i     ; wb_dat_o[14] ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
5150
; wb_we_i     ; wb_dat_o[15] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
5151
; wb_we_i     ; wb_dat_o[16] ; 5.403 ; 5.358 ; 5.783 ; 5.738 ;
5152
; wb_we_i     ; wb_dat_o[17] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
5153
; wb_we_i     ; wb_dat_o[18] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
5154
; wb_we_i     ; wb_dat_o[19] ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
5155
; wb_we_i     ; wb_dat_o[20] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
5156
; wb_we_i     ; wb_dat_o[21] ; 4.741 ; 4.696 ; 5.199 ; 5.154 ;
5157
; wb_we_i     ; wb_dat_o[22] ; 5.376 ; 5.332 ; 5.834 ; 5.790 ;
5158
; wb_we_i     ; wb_dat_o[23] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
5159
; wb_we_i     ; wb_dat_o[24] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
5160
; wb_we_i     ; wb_dat_o[25] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
5161
; wb_we_i     ; wb_dat_o[26] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
5162
; wb_we_i     ; wb_dat_o[27] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
5163
; wb_we_i     ; wb_dat_o[28] ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
5164
; wb_we_i     ; wb_dat_o[29] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
5165
; wb_we_i     ; wb_dat_o[30] ; 5.838 ; 5.756 ; 6.162 ; 6.080 ;
5166
; wb_we_i     ; wb_dat_o[31] ; 4.938 ; 4.898 ; 5.382 ; 5.342 ;
5167
+-------------+--------------+-------+-------+-------+-------+
5168
 
5169
 
5170
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5171
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
5172
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
5173
; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
5174
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
5175
; one_o         ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5176
; zero_o        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5177
; wb_dat_o[0]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5178
; wb_dat_o[1]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5179
; wb_dat_o[2]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5180
; wb_dat_o[3]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5181
; wb_dat_o[4]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5182
; wb_dat_o[5]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5183
; wb_dat_o[6]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5184
; wb_dat_o[7]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5185
; wb_dat_o[8]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5186
; wb_dat_o[9]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5187
; wb_dat_o[10]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5188
; wb_dat_o[11]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5189
; wb_dat_o[12]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5190
; wb_dat_o[13]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5191
; wb_dat_o[14]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5192
; wb_dat_o[15]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5193
; wb_dat_o[16]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5194
; wb_dat_o[17]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5195
; wb_dat_o[18]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5196
; wb_dat_o[19]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5197
; wb_dat_o[20]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5198
; wb_dat_o[21]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5199
; wb_dat_o[22]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5200
; wb_dat_o[23]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5201
; wb_dat_o[24]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5202
; wb_dat_o[25]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5203
; wb_dat_o[26]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5204
; wb_dat_o[27]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5205
; wb_dat_o[28]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5206
; wb_dat_o[29]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5207
; wb_dat_o[30]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5208
; wb_dat_o[31]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5209
; wb_ack_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5210
; wb_err_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5211
; wb_rty_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5212
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5213
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
5214
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
5215
 
5216
 
5217
+-------------------------------------------------------------------+
5218
; Input Transition Times                                            ;
5219
+----------------+--------------+-----------------+-----------------+
5220
; Pin            ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
5221
+----------------+--------------+-----------------+-----------------+
5222
; wb_cti_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5223
; wb_cti_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5224
; wb_cti_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5225
; wb_sel_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5226
; wb_sel_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5227
; wb_sel_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5228
; wb_sel_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5229
; wb_clk_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5230
; wb_rst_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5231
; wb_adr_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5232
; wb_adr_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5233
; wb_adr_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5234
; wb_adr_i[4]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5235
; wb_adr_i[5]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5236
; wb_adr_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5237
; wb_cyc_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5238
; wb_stb_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5239
; wb_we_i        ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5240
; wb_dat_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5241
; wb_dat_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5242
; wb_dat_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5243
; wb_dat_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5244
; wb_dat_i[4]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5245
; wb_dat_i[5]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5246
; wb_dat_i[6]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5247
; wb_dat_i[7]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5248
; wb_dat_i[8]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5249
; wb_dat_i[9]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5250
; wb_dat_i[10]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5251
; wb_dat_i[11]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5252
; wb_dat_i[12]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5253
; wb_dat_i[13]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5254
; wb_dat_i[14]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5255
; wb_dat_i[15]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5256
; wb_dat_i[16]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5257
; wb_dat_i[17]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5258
; wb_dat_i[18]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5259
; wb_dat_i[19]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5260
; wb_dat_i[20]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5261
; wb_dat_i[21]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5262
; wb_dat_i[22]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5263
; wb_dat_i[23]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5264
; wb_dat_i[24]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5265
; wb_dat_i[25]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5266
; wb_dat_i[26]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5267
; wb_dat_i[27]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5268
; wb_dat_i[28]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5269
; wb_dat_i[29]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5270
; wb_dat_i[30]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5271
; wb_dat_i[31]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5272
; ~ALTERA_DATA0~ ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5273
; ~ALTERA_ASDO~  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5274
; ~ALTERA_NCSO~  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
5275
+----------------+--------------+-----------------+-----------------+
5276
 
5277
 
5278
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5279
; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
5280
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5281
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
5282
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5283
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5284
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5285
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5286
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5287
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5288
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5289
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5290
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5291
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5292
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5293
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5294
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5295
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5296
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5297
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5298
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5299
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5300
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5301
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
5302
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5303
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5304
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5305
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5306
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
5307
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.34 V              ; -0.00668 V          ; 0.218 V                              ; 0.076 V                              ; 1.92e-09 s                  ; 1.81e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.34 V             ; -0.00668 V         ; 0.218 V                             ; 0.076 V                             ; 1.92e-09 s                 ; 1.81e-09 s                 ; No                        ; Yes                       ;
5308
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5309
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5310
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5311
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5312
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5313
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5314
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5315
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
5316
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
5317
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.34 V              ; -0.00668 V          ; 0.218 V                              ; 0.076 V                              ; 1.92e-09 s                  ; 1.81e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.34 V             ; -0.00668 V         ; 0.218 V                             ; 0.076 V                             ; 1.92e-09 s                 ; 1.81e-09 s                 ; No                        ; Yes                       ;
5318
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
5319
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
5320
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.76e-09 V                   ; 2.4 V               ; -0.034 V            ; 0.102 V                              ; 0.065 V                              ; 2.49e-10 s                  ; 3.49e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.76e-09 V                  ; 2.4 V              ; -0.034 V           ; 0.102 V                             ; 0.065 V                             ; 2.49e-10 s                 ; 3.49e-10 s                 ; Yes                       ; Yes                       ;
5321
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.94e-09 V                   ; 2.39 V              ; -0.0344 V           ; 0.156 V                              ; 0.089 V                              ; 2.68e-10 s                  ; 2.6e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.94e-09 V                  ; 2.39 V             ; -0.0344 V          ; 0.156 V                             ; 0.089 V                             ; 2.68e-10 s                 ; 2.6e-10 s                  ; Yes                       ; Yes                       ;
5322
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5323
 
5324
 
5325
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5326
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
5327
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5328
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
5329
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5330
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5331
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5332
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5333
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5334
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5335
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5336
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5337
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5338
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5339
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5340
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5341
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5342
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5343
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5344
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5345
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5346
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5347
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5348
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
5349
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5350
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5351
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5352
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5353
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
5354
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.33 V              ; -0.00286 V          ; 0.193 V                              ; 0.042 V                              ; 2.32e-09 s                  ; 2.21e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.33 V             ; -0.00286 V         ; 0.193 V                             ; 0.042 V                             ; 2.32e-09 s                 ; 2.21e-09 s                 ; Yes                       ; Yes                       ;
5355
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5356
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5357
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5358
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5359
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5360
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5361
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5362
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
5363
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
5364
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.33 V              ; -0.00286 V          ; 0.193 V                              ; 0.042 V                              ; 2.32e-09 s                  ; 2.21e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.33 V             ; -0.00286 V         ; 0.193 V                             ; 0.042 V                             ; 2.32e-09 s                 ; 2.21e-09 s                 ; Yes                       ; Yes                       ;
5365
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
5366
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
5367
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.93e-07 V                   ; 2.37 V              ; -0.0278 V           ; 0.106 V                              ; 0.115 V                              ; 2.69e-10 s                  ; 4.05e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.93e-07 V                  ; 2.37 V             ; -0.0278 V          ; 0.106 V                             ; 0.115 V                             ; 2.69e-10 s                 ; 4.05e-10 s                 ; Yes                       ; Yes                       ;
5368
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 9.76e-07 V                   ; 2.36 V              ; -0.00439 V          ; 0.088 V                              ; 0.007 V                              ; 4.05e-10 s                  ; 3.35e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 9.76e-07 V                  ; 2.36 V             ; -0.00439 V         ; 0.088 V                             ; 0.007 V                             ; 4.05e-10 s                 ; 3.35e-10 s                 ; Yes                       ; Yes                       ;
5369
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5370
 
5371
 
5372
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5373
; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
5374
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5375
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
5376
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5377
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5378
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5379
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5380
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5381
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5382
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5383
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5384
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5385
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5386
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5387
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5388
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5389
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5390
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5391
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5392
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5393
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5394
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5395
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
5396
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5397
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5398
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5399
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5400
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
5401
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.65 V              ; -0.0115 V           ; 0.219 V                              ; 0.115 V                              ; 1.64e-09 s                  ; 1.57e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.65 V             ; -0.0115 V          ; 0.219 V                             ; 0.115 V                             ; 1.64e-09 s                 ; 1.57e-09 s                 ; No                        ; Yes                       ;
5402
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5403
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5404
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5405
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5406
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5407
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5408
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5409
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
5410
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
5411
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.65 V              ; -0.0115 V           ; 0.219 V                              ; 0.115 V                              ; 1.64e-09 s                  ; 1.57e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.65 V             ; -0.0115 V          ; 0.219 V                             ; 0.115 V                             ; 1.64e-09 s                 ; 1.57e-09 s                 ; No                        ; Yes                       ;
5412
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
5413
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
5414
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.06e-08 V                   ; 2.86 V              ; -0.0341 V           ; 0.364 V                              ; 0.046 V                              ; 1.17e-10 s                  ; 2.6e-10 s                   ; No                         ; Yes                        ; 2.62 V                      ; 3.06e-08 V                  ; 2.86 V             ; -0.0341 V          ; 0.364 V                             ; 0.046 V                             ; 1.17e-10 s                 ; 2.6e-10 s                  ; No                        ; Yes                       ;
5415
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.81e-08 V                   ; 2.72 V              ; -0.0542 V           ; 0.144 V                              ; 0.087 V                              ; 2.55e-10 s                  ; 2.14e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 3.81e-08 V                  ; 2.72 V             ; -0.0542 V          ; 0.144 V                             ; 0.087 V                             ; 2.55e-10 s                 ; 2.14e-10 s                 ; Yes                       ; Yes                       ;
5416
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
5417
 
5418
 
5419
+-------------------------------------------------------------------+
5420
; Setup Transfers                                                   ;
5421
+------------+----------+----------+----------+----------+----------+
5422
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
5423
+------------+----------+----------+----------+----------+----------+
5424
; wb_clk_i   ; wb_clk_i ; 141      ; 471      ; 128      ; 993      ;
5425
+------------+----------+----------+----------+----------+----------+
5426
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
5427
 
5428
 
5429
+-------------------------------------------------------------------+
5430
; Hold Transfers                                                    ;
5431
+------------+----------+----------+----------+----------+----------+
5432
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
5433
+------------+----------+----------+----------+----------+----------+
5434
; wb_clk_i   ; wb_clk_i ; 141      ; 471      ; 128      ; 993      ;
5435
+------------+----------+----------+----------+----------+----------+
5436
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
5437
 
5438
 
5439
+-------------------------------------------------------------------+
5440
; Recovery Transfers                                                ;
5441
+------------+----------+----------+----------+----------+----------+
5442
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
5443
+------------+----------+----------+----------+----------+----------+
5444
; wb_clk_i   ; wb_clk_i ; 0        ; 0        ; 0        ; 134      ;
5445
+------------+----------+----------+----------+----------+----------+
5446
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
5447
 
5448
 
5449
+-------------------------------------------------------------------+
5450
; Removal Transfers                                                 ;
5451
+------------+----------+----------+----------+----------+----------+
5452
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
5453
+------------+----------+----------+----------+----------+----------+
5454
; wb_clk_i   ; wb_clk_i ; 0        ; 0        ; 0        ; 134      ;
5455
+------------+----------+----------+----------+----------+----------+
5456
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
5457
 
5458
 
5459
---------------
5460
; Report TCCS ;
5461
---------------
5462
No dedicated SERDES Transmitter circuitry present in device or used in design
5463
 
5464
 
5465
---------------
5466
; Report RSKM ;
5467
---------------
5468
No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
5469
 
5470
 
5471
+------------------------------------------------+
5472
; Unconstrained Paths                            ;
5473
+---------------------------------+-------+------+
5474
; Property                        ; Setup ; Hold ;
5475
+---------------------------------+-------+------+
5476
; Illegal Clocks                  ; 0     ; 0    ;
5477
; Unconstrained Clocks            ; 0     ; 0    ;
5478
; Unconstrained Input Ports       ; 42    ; 42   ;
5479
; Unconstrained Input Port Paths  ; 1463  ; 1463 ;
5480
; Unconstrained Output Ports      ; 37    ; 37   ;
5481
; Unconstrained Output Port Paths ; 366   ; 366  ;
5482
+---------------------------------+-------+------+
5483
 
5484
 
5485
+------------------------------------+
5486
; TimeQuest Timing Analyzer Messages ;
5487
+------------------------------------+
5488
Info: *******************************************************************
5489
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
5490
    Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
5491
    Info: Processing started: Mon Feb 16 10:59:58 2015
5492
Info: Command: quartus_sta wiegand_tx_top -c wiegand_tx_top
5493
Info: qsta_default_script.tcl version: #1
5494
Warning (20028): Parallel compilation is not licensed and has been disabled
5495
Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'.
5496
Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'.
5497
Critical Warning (332012): Synopsys Design Constraints File file not found: 'wiegand_tx_top.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
5498
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
5499
Info (332105): Deriving Clocks
5500
    Info (332105): create_clock -period 1.000 -name wb_clk_i wb_clk_i
5501
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
5502
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
5503
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
5504
Info: Analyzing Slow 1200mV 85C Model
5505
Critical Warning (332148): Timing requirements not met
5506
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
5507
Info (332146): Worst-case setup slack is -2.910
5508
    Info (332119):     Slack       End Point TNS Clock
5509
    Info (332119): ========= =================== =====================
5510
    Info (332119):    -2.910            -416.889 wb_clk_i
5511
Info (332146): Worst-case hold slack is 0.355
5512
    Info (332119):     Slack       End Point TNS Clock
5513
    Info (332119): ========= =================== =====================
5514
    Info (332119):     0.355               0.000 wb_clk_i
5515
Info (332146): Worst-case recovery slack is -2.528
5516
    Info (332119):     Slack       End Point TNS Clock
5517
    Info (332119): ========= =================== =====================
5518
    Info (332119):    -2.528            -267.492 wb_clk_i
5519
Info (332146): Worst-case removal slack is 2.342
5520
    Info (332119):     Slack       End Point TNS Clock
5521
    Info (332119): ========= =================== =====================
5522
    Info (332119):     2.342               0.000 wb_clk_i
5523
Info (332146): Worst-case minimum pulse width slack is -3.000
5524
    Info (332119):     Slack       End Point TNS Clock
5525
    Info (332119): ========= =================== =====================
5526
    Info (332119):    -3.000            -306.000 wb_clk_i
5527
Info: Analyzing Slow 1200mV 0C Model
5528
Info (334003): Started post-fitting delay annotation
5529
Info (334004): Delay annotation completed successfully
5530
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
5531
Critical Warning (332148): Timing requirements not met
5532
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
5533
Info (332146): Worst-case setup slack is -2.543
5534
    Info (332119):     Slack       End Point TNS Clock
5535
    Info (332119): ========= =================== =====================
5536
    Info (332119):    -2.543            -348.373 wb_clk_i
5537
Info (332146): Worst-case hold slack is 0.310
5538
    Info (332119):     Slack       End Point TNS Clock
5539
    Info (332119): ========= =================== =====================
5540
    Info (332119):     0.310               0.000 wb_clk_i
5541
Info (332146): Worst-case recovery slack is -2.156
5542
    Info (332119):     Slack       End Point TNS Clock
5543
    Info (332119): ========= =================== =====================
5544
    Info (332119):    -2.156            -225.904 wb_clk_i
5545
Info (332146): Worst-case removal slack is 2.084
5546
    Info (332119):     Slack       End Point TNS Clock
5547
    Info (332119): ========= =================== =====================
5548
    Info (332119):     2.084               0.000 wb_clk_i
5549
Info (332146): Worst-case minimum pulse width slack is -3.000
5550
    Info (332119):     Slack       End Point TNS Clock
5551
    Info (332119): ========= =================== =====================
5552
    Info (332119):    -3.000            -306.000 wb_clk_i
5553
Info: Analyzing Fast 1200mV 0C Model
5554
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
5555
Critical Warning (332148): Timing requirements not met
5556
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
5557
Info (332146): Worst-case setup slack is -1.753
5558
    Info (332119):     Slack       End Point TNS Clock
5559
    Info (332119): ========= =================== =====================
5560
    Info (332119):    -1.753            -113.466 wb_clk_i
5561
Info (332146): Worst-case hold slack is 0.185
5562
    Info (332119):     Slack       End Point TNS Clock
5563
    Info (332119): ========= =================== =====================
5564
    Info (332119):     0.185               0.000 wb_clk_i
5565
Info (332146): Worst-case recovery slack is -1.085
5566
    Info (332119):     Slack       End Point TNS Clock
5567
    Info (332119): ========= =================== =====================
5568
    Info (332119):    -1.085            -103.677 wb_clk_i
5569
Info (332146): Worst-case removal slack is 1.353
5570
    Info (332119):     Slack       End Point TNS Clock
5571
    Info (332119): ========= =================== =====================
5572
    Info (332119):     1.353               0.000 wb_clk_i
5573
Info (332146): Worst-case minimum pulse width slack is -3.000
5574
    Info (332119):     Slack       End Point TNS Clock
5575
    Info (332119): ========= =================== =====================
5576
    Info (332119):    -3.000            -326.495 wb_clk_i
5577
Info (332102): Design is not fully constrained for setup requirements
5578
Info (332102): Design is not fully constrained for hold requirements
5579
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings
5580
    Info: Peak virtual memory: 540 megabytes
5581
    Info: Processing ended: Mon Feb 16 11:00:03 2015
5582
    Info: Elapsed time: 00:00:05
5583
    Info: Total CPU time (on all processors): 00:00:05
5584
 
5585
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.