OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [simulation/] [modelsim/] [wiegand_tx_top_min_1200mv_0c_fast.vo] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
// Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
2
// Your use of Altera Corporation's design tools, logic functions
3
// and other software and tools, and its AMPP partner logic
4
// functions, and any output files from any of the foregoing
5
// (including device programming or simulation files), and any
6
// associated documentation or information are expressly subject
7
// to the terms and conditions of the Altera Program License
8
// Subscription Agreement, the Altera Quartus II License Agreement,
9
// the Altera MegaCore Function License Agreement, or other
10
// applicable license agreement, including, without limitation,
11
// that your use is for the sole purpose of programming logic
12
// devices manufactured by Altera and sold by Altera or its
13
// authorized distributors.  Please refer to the applicable
14
// agreement for further details.
15
 
16
// VENDOR "Altera"
17
// PROGRAM "Quartus II 64-Bit"
18
// VERSION "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition"
19
 
20
// DATE "02/16/2015 11:00:07"
21
 
22
//
23
// Device: Altera EP4CGX22CF19C6 Package FBGA324
24
//
25
 
26
//
27
// This Verilog file should be used for ModelSim-Altera (Verilog) only
28
//
29
 
30
`timescale 1 ps/ 1 ps
31
 
32
module wiegand_tx_top (
33
        one_o,
34
        zero_o,
35
        wb_clk_i,
36
        wb_rst_i,
37
        wb_dat_i,
38
        wb_dat_o,
39
        wb_cyc_i,
40
        wb_stb_i,
41
        wb_cti_i,
42
        wb_sel_i,
43
        wb_we_i,
44
        wb_adr_i,
45
        wb_ack_o,
46
        wb_err_o,
47
        wb_rty_o);
48
output  one_o;
49
output  zero_o;
50
input   wb_clk_i;
51
input   wb_rst_i;
52
input   [31:0] wb_dat_i;
53
output  [31:0] wb_dat_o;
54
input   wb_cyc_i;
55
input   wb_stb_i;
56
input   [2:0] wb_cti_i;
57
input   [3:0] wb_sel_i;
58
input   wb_we_i;
59
input   [5:0] wb_adr_i;
60
output  wb_ack_o;
61
output  wb_err_o;
62
output  wb_rty_o;
63
 
64
// Design Ports Information
65
// one_o        =>  Location: PIN_D10,   I/O Standard: 2.5 V,    Current Strength: Default
66
// zero_o       =>  Location: PIN_G16,   I/O Standard: 2.5 V,    Current Strength: Default
67
// wb_dat_o[0]  =>  Location: PIN_T10,   I/O Standard: 2.5 V,    Current Strength: Default
68
// wb_dat_o[1]  =>  Location: PIN_U13,   I/O Standard: 2.5 V,    Current Strength: Default
69
// wb_dat_o[2]  =>  Location: PIN_D12,   I/O Standard: 2.5 V,    Current Strength: Default
70
// wb_dat_o[3]  =>  Location: PIN_V9,    I/O Standard: 2.5 V,    Current Strength: Default
71
// wb_dat_o[4]  =>  Location: PIN_V18,   I/O Standard: 2.5 V,    Current Strength: Default
72
// wb_dat_o[5]  =>  Location: PIN_R13,   I/O Standard: 2.5 V,    Current Strength: Default
73
// wb_dat_o[6]  =>  Location: PIN_U18,   I/O Standard: 2.5 V,    Current Strength: Default
74
// wb_dat_o[7]  =>  Location: PIN_R16,   I/O Standard: 2.5 V,    Current Strength: Default
75
// wb_dat_o[8]  =>  Location: PIN_R9,    I/O Standard: 2.5 V,    Current Strength: Default
76
// wb_dat_o[9]  =>  Location: PIN_C11,   I/O Standard: 2.5 V,    Current Strength: Default
77
// wb_dat_o[10] =>  Location: PIN_J16,   I/O Standard: 2.5 V,    Current Strength: Default
78
// wb_dat_o[11] =>  Location: PIN_B10,   I/O Standard: 2.5 V,    Current Strength: Default
79
// wb_dat_o[12] =>  Location: PIN_U9,    I/O Standard: 2.5 V,    Current Strength: Default
80
// wb_dat_o[13] =>  Location: PIN_T9,    I/O Standard: 2.5 V,    Current Strength: Default
81
// wb_dat_o[14] =>  Location: PIN_C10,   I/O Standard: 2.5 V,    Current Strength: Default
82
// wb_dat_o[15] =>  Location: PIN_A13,   I/O Standard: 2.5 V,    Current Strength: Default
83
// wb_dat_o[16] =>  Location: PIN_C8,    I/O Standard: 2.5 V,    Current Strength: Default
84
// wb_dat_o[17] =>  Location: PIN_B13,   I/O Standard: 2.5 V,    Current Strength: Default
85
// wb_dat_o[18] =>  Location: PIN_A11,   I/O Standard: 2.5 V,    Current Strength: Default
86
// wb_dat_o[19] =>  Location: PIN_U10,   I/O Standard: 2.5 V,    Current Strength: Default
87
// wb_dat_o[20] =>  Location: PIN_U7,    I/O Standard: 2.5 V,    Current Strength: Default
88
// wb_dat_o[21] =>  Location: PIN_T8,    I/O Standard: 2.5 V,    Current Strength: Default
89
// wb_dat_o[22] =>  Location: PIN_R8,    I/O Standard: 2.5 V,    Current Strength: Default
90
// wb_dat_o[23] =>  Location: PIN_V8,    I/O Standard: 2.5 V,    Current Strength: Default
91
// wb_dat_o[24] =>  Location: PIN_V7,    I/O Standard: 2.5 V,    Current Strength: Default
92
// wb_dat_o[25] =>  Location: PIN_A10,   I/O Standard: 2.5 V,    Current Strength: Default
93
// wb_dat_o[26] =>  Location: PIN_M18,   I/O Standard: 2.5 V,    Current Strength: Default
94
// wb_dat_o[27] =>  Location: PIN_D11,   I/O Standard: 2.5 V,    Current Strength: Default
95
// wb_dat_o[28] =>  Location: PIN_P16,   I/O Standard: 2.5 V,    Current Strength: Default
96
// wb_dat_o[29] =>  Location: PIN_L18,   I/O Standard: 2.5 V,    Current Strength: Default
97
// wb_dat_o[30] =>  Location: PIN_R18,   I/O Standard: 2.5 V,    Current Strength: Default
98
// wb_dat_o[31] =>  Location: PIN_N7,    I/O Standard: 2.5 V,    Current Strength: Default
99
// wb_cti_i[0]  =>  Location: PIN_V11,   I/O Standard: 2.5 V,    Current Strength: Default
100
// wb_cti_i[1]  =>  Location: PIN_V12,   I/O Standard: 2.5 V,    Current Strength: Default
101
// wb_cti_i[2]  =>  Location: PIN_A17,   I/O Standard: 2.5 V,    Current Strength: Default
102
// wb_sel_i[0]  =>  Location: PIN_D9,    I/O Standard: 2.5 V,    Current Strength: Default
103
// wb_sel_i[1]  =>  Location: PIN_E15,   I/O Standard: 2.5 V,    Current Strength: Default
104
// wb_sel_i[2]  =>  Location: PIN_C18,   I/O Standard: 2.5 V,    Current Strength: Default
105
// wb_sel_i[3]  =>  Location: PIN_G18,   I/O Standard: 2.5 V,    Current Strength: Default
106
// wb_ack_o     =>  Location: PIN_P12,   I/O Standard: 2.5 V,    Current Strength: Default
107
// wb_err_o     =>  Location: PIN_T15,   I/O Standard: 2.5 V,    Current Strength: Default
108
// wb_rty_o     =>  Location: PIN_P13,   I/O Standard: 2.5 V,    Current Strength: Default
109
// wb_clk_i     =>  Location: PIN_M10,   I/O Standard: 2.5 V,    Current Strength: Default
110
// wb_rst_i     =>  Location: PIN_M9,    I/O Standard: 2.5 V,    Current Strength: Default
111
// wb_adr_i[1]  =>  Location: PIN_T17,   I/O Standard: 2.5 V,    Current Strength: Default
112
// wb_adr_i[2]  =>  Location: PIN_M17,   I/O Standard: 2.5 V,    Current Strength: Default
113
// wb_adr_i[3]  =>  Location: PIN_M16,   I/O Standard: 2.5 V,    Current Strength: Default
114
// wb_adr_i[4]  =>  Location: PIN_K16,   I/O Standard: 2.5 V,    Current Strength: Default
115
// wb_adr_i[5]  =>  Location: PIN_N17,   I/O Standard: 2.5 V,    Current Strength: Default
116
// wb_adr_i[0]  =>  Location: PIN_R12,   I/O Standard: 2.5 V,    Current Strength: Default
117
// wb_cyc_i     =>  Location: PIN_R15,   I/O Standard: 2.5 V,    Current Strength: Default
118
// wb_stb_i     =>  Location: PIN_V16,   I/O Standard: 2.5 V,    Current Strength: Default
119
// wb_we_i      =>  Location: PIN_V14,   I/O Standard: 2.5 V,    Current Strength: Default
120
// wb_dat_i[0]  =>  Location: PIN_R10,   I/O Standard: 2.5 V,    Current Strength: Default
121
// wb_dat_i[1]  =>  Location: PIN_V13,   I/O Standard: 2.5 V,    Current Strength: Default
122
// wb_dat_i[2]  =>  Location: PIN_R11,   I/O Standard: 2.5 V,    Current Strength: Default
123
// wb_dat_i[3]  =>  Location: PIN_T12,   I/O Standard: 2.5 V,    Current Strength: Default
124
// wb_dat_i[4]  =>  Location: PIN_M7,    I/O Standard: 2.5 V,    Current Strength: Default
125
// wb_dat_i[5]  =>  Location: PIN_U12,   I/O Standard: 2.5 V,    Current Strength: Default
126
// wb_dat_i[6]  =>  Location: PIN_E10,   I/O Standard: 2.5 V,    Current Strength: Default
127
// wb_dat_i[7]  =>  Location: PIN_T11,   I/O Standard: 2.5 V,    Current Strength: Default
128
// wb_dat_i[8]  =>  Location: PIN_V17,   I/O Standard: 2.5 V,    Current Strength: Default
129
// wb_dat_i[9]  =>  Location: PIN_U15,   I/O Standard: 2.5 V,    Current Strength: Default
130
// wb_dat_i[10] =>  Location: PIN_R17,   I/O Standard: 2.5 V,    Current Strength: Default
131
// wb_dat_i[11] =>  Location: PIN_N16,   I/O Standard: 2.5 V,    Current Strength: Default
132
// wb_dat_i[12] =>  Location: PIN_R14,   I/O Standard: 2.5 V,    Current Strength: Default
133
// wb_dat_i[13] =>  Location: PIN_P18,   I/O Standard: 2.5 V,    Current Strength: Default
134
// wb_dat_i[14] =>  Location: PIN_L15,   I/O Standard: 2.5 V,    Current Strength: Default
135
// wb_dat_i[15] =>  Location: PIN_T16,   I/O Standard: 2.5 V,    Current Strength: Default
136
// wb_dat_i[16] =>  Location: PIN_V5,    I/O Standard: 2.5 V,    Current Strength: Default
137
// wb_dat_i[17] =>  Location: PIN_N15,   I/O Standard: 2.5 V,    Current Strength: Default
138
// wb_dat_i[18] =>  Location: PIN_P15,   I/O Standard: 2.5 V,    Current Strength: Default
139
// wb_dat_i[19] =>  Location: PIN_T18,   I/O Standard: 2.5 V,    Current Strength: Default
140
// wb_dat_i[20] =>  Location: PIN_K15,   I/O Standard: 2.5 V,    Current Strength: Default
141
// wb_dat_i[21] =>  Location: PIN_L16,   I/O Standard: 2.5 V,    Current Strength: Default
142
// wb_dat_i[22] =>  Location: PIN_P10,   I/O Standard: 2.5 V,    Current Strength: Default
143
// wb_dat_i[23] =>  Location: PIN_V10,   I/O Standard: 2.5 V,    Current Strength: Default
144
// wb_dat_i[24] =>  Location: PIN_R7,    I/O Standard: 2.5 V,    Current Strength: Default
145
// wb_dat_i[25] =>  Location: PIN_V6,    I/O Standard: 2.5 V,    Current Strength: Default
146
// wb_dat_i[26] =>  Location: PIN_U16,   I/O Standard: 2.5 V,    Current Strength: Default
147
// wb_dat_i[27] =>  Location: PIN_T13,   I/O Standard: 2.5 V,    Current Strength: Default
148
// wb_dat_i[28] =>  Location: PIN_V15,   I/O Standard: 2.5 V,    Current Strength: Default
149
// wb_dat_i[29] =>  Location: PIN_T14,   I/O Standard: 2.5 V,    Current Strength: Default
150
// wb_dat_i[30] =>  Location: PIN_T7,    I/O Standard: 2.5 V,    Current Strength: Default
151
// wb_dat_i[31] =>  Location: PIN_N18,   I/O Standard: 2.5 V,    Current Strength: Default
152
 
153
 
154
wire gnd;
155
wire vcc;
156
wire unknown;
157
 
158
assign gnd = 1'b0;
159
assign vcc = 1'b1;
160
assign unknown = 1'bx;
161
 
162
tri1 devclrn;
163
tri1 devpor;
164
tri1 devoe;
165
// synopsys translate_off
166
initial $sdf_annotate("wiegand_tx_top_min_1200mv_0c_v_fast.sdo");
167
// synopsys translate_on
168
 
169
wire \wb_cti_i[0]~input_o ;
170
wire \wb_cti_i[1]~input_o ;
171
wire \wb_cti_i[2]~input_o ;
172
wire \wb_sel_i[0]~input_o ;
173
wire \wb_sel_i[1]~input_o ;
174
wire \wb_sel_i[2]~input_o ;
175
wire \wb_sel_i[3]~input_o ;
176
wire \wb_dat_o[0]~output_o ;
177
wire \wb_dat_o[1]~output_o ;
178
wire \wb_dat_o[2]~output_o ;
179
wire \wb_dat_o[3]~output_o ;
180
wire \wb_dat_o[4]~output_o ;
181
wire \wb_dat_o[5]~output_o ;
182
wire \wb_dat_o[6]~output_o ;
183
wire \wb_dat_o[7]~output_o ;
184
wire \wb_dat_o[8]~output_o ;
185
wire \wb_dat_o[9]~output_o ;
186
wire \wb_dat_o[10]~output_o ;
187
wire \wb_dat_o[11]~output_o ;
188
wire \wb_dat_o[12]~output_o ;
189
wire \wb_dat_o[13]~output_o ;
190
wire \wb_dat_o[14]~output_o ;
191
wire \wb_dat_o[15]~output_o ;
192
wire \wb_dat_o[16]~output_o ;
193
wire \wb_dat_o[17]~output_o ;
194
wire \wb_dat_o[18]~output_o ;
195
wire \wb_dat_o[19]~output_o ;
196
wire \wb_dat_o[20]~output_o ;
197
wire \wb_dat_o[21]~output_o ;
198
wire \wb_dat_o[22]~output_o ;
199
wire \wb_dat_o[23]~output_o ;
200
wire \wb_dat_o[24]~output_o ;
201
wire \wb_dat_o[25]~output_o ;
202
wire \wb_dat_o[26]~output_o ;
203
wire \wb_dat_o[27]~output_o ;
204
wire \wb_dat_o[28]~output_o ;
205
wire \wb_dat_o[29]~output_o ;
206
wire \wb_dat_o[30]~output_o ;
207
wire \wb_dat_o[31]~output_o ;
208
wire \one_o~output_o ;
209
wire \zero_o~output_o ;
210
wire \wb_ack_o~output_o ;
211
wire \wb_err_o~output_o ;
212
wire \wb_rty_o~output_o ;
213
wire \wb_adr_i[0]~input_o ;
214
wire \wb_adr_i[1]~input_o ;
215
wire \wb_adr_i[2]~input_o ;
216
wire \wb_adr_i[5]~input_o ;
217
wire \wb_adr_i[3]~input_o ;
218
wire \wb_adr_i[4]~input_o ;
219
wire \wb_interface|Equal2~0_combout ;
220
wire \wb_interface|Equal1~0_combout ;
221
wire \wb_clk_i~input_o ;
222
wire \wb_clk_i~inputclkctrl_outclk ;
223
wire \wb_dat_i[0]~input_o ;
224
wire \wb_rst_i~input_o ;
225
wire \wb_rst_i~inputclkctrl_outclk ;
226
wire \bitCountReg[0]~8_combout ;
227
wire \pulseCnt[0]~32_combout ;
228
wire \wb_dat_i[5]~input_o ;
229
wire \wb_interface|Equal2~1_combout ;
230
wire \wb_stb_i~input_o ;
231
wire \wb_cyc_i~input_o ;
232
wire \wb_interface|wb_dat_o~1_combout ;
233
wire \wb_we_i~input_o ;
234
wire \wb_interface|always4~0_combout ;
235
wire \wb_dat_i[9]~input_o ;
236
wire \wb_dat_i[8]~input_o ;
237
wire \wb_dat_i[7]~input_o ;
238
wire \wb_dat_i[6]~input_o ;
239
wire \Equal1~10_combout ;
240
wire \Equal1~11_combout ;
241
wire \wb_dat_i[20]~input_o ;
242
wire \wb_dat_i[18]~input_o ;
243
wire \wb_dat_i[19]~input_o ;
244
wire \wb_dat_i[21]~input_o ;
245
wire \Equal1~6_combout ;
246
wire \wb_dat_i[16]~input_o ;
247
wire \wb_dat_i[17]~input_o ;
248
wire \wb_dat_i[15]~input_o ;
249
wire \wb_dat_i[14]~input_o ;
250
wire \Equal1~7_combout ;
251
wire \wb_dat_i[13]~input_o ;
252
wire \wb_interface|p2p[13]~feeder_combout ;
253
wire \wb_dat_i[10]~input_o ;
254
wire \wb_dat_i[11]~input_o ;
255
wire \wb_dat_i[12]~input_o ;
256
wire \Equal1~8_combout ;
257
wire \wb_dat_i[24]~input_o ;
258
wire \wb_dat_i[22]~input_o ;
259
wire \wb_interface|p2p[22]~feeder_combout ;
260
wire \wb_dat_i[23]~input_o ;
261
wire \wb_interface|p2p[23]~feeder_combout ;
262
wire \wb_dat_i[25]~input_o ;
263
wire \wb_interface|p2p[25]~feeder_combout ;
264
wire \Equal1~5_combout ;
265
wire \Equal1~9_combout ;
266
wire \wb_dat_i[28]~input_o ;
267
wire \wb_dat_i[27]~input_o ;
268
wire \wb_dat_i[26]~input_o ;
269
wire \wb_dat_i[29]~input_o ;
270
wire \Equal1~3_combout ;
271
wire \wb_dat_i[31]~input_o ;
272
wire \wb_dat_i[4]~input_o ;
273
wire \p2pCnt[0]~5_combout ;
274
wire \p2pCnt[0]~6 ;
275
wire \p2pCnt[1]~7_combout ;
276
wire \p2pCnt[1]~8 ;
277
wire \p2pCnt[2]~9_combout ;
278
wire \p2pCnt[2]~10 ;
279
wire \p2pCnt[3]~11_combout ;
280
wire \p2pCnt[3]~12 ;
281
wire \p2pCnt[4]~13_combout ;
282
wire \wb_dat_i[30]~input_o ;
283
wire \Equal1~2_combout ;
284
wire \wb_dat_i[1]~input_o ;
285
wire \Equal1~0_combout ;
286
wire \wb_dat_i[3]~input_o ;
287
wire \wb_dat_i[2]~input_o ;
288
wire \Equal1~1_combout ;
289
wire \Equal1~4_combout ;
290
wire \Equal1~12_combout ;
291
wire \Selector3~3_combout ;
292
wire \state.101~q ;
293
wire \bitCountReg[1]~11 ;
294
wire \bitCountReg[2]~12_combout ;
295
wire \bitCountReg[3]~7_combout ;
296
wire \bitCountReg[2]~13 ;
297
wire \bitCountReg[3]~14_combout ;
298
wire \bitCountReg[3]~15 ;
299
wire \bitCountReg[4]~16_combout ;
300
wire \bitCountReg[4]~17 ;
301
wire \bitCountReg[5]~18_combout ;
302
wire \bitCountReg[5]~19 ;
303
wire \bitCountReg[6]~20_combout ;
304
wire \Selector3~0_combout ;
305
wire \Selector3~1_combout ;
306
wire \Selector3~2_combout ;
307
wire \Selector4~0_combout ;
308
wire \bitCount[0]~7_combout ;
309
wire \wb_interface|rty_int~1_combout ;
310
wire \wb_interface|always3~0_combout ;
311
wire \Equal3~8_combout ;
312
wire \Equal3~6_combout ;
313
wire \Equal3~7_combout ;
314
wire \Equal3~5_combout ;
315
wire \Equal3~9_combout ;
316
wire \Equal3~2_combout ;
317
wire \wb_interface|pulsewidth[3]~1_combout ;
318
wire \Equal3~1_combout ;
319
wire \Equal3~3_combout ;
320
wire \wb_interface|pulsewidth[1]~0_combout ;
321
wire \Equal3~0_combout ;
322
wire \Equal3~4_combout ;
323
wire \pulseCnt[19]~71 ;
324
wire \pulseCnt[20]~72_combout ;
325
wire \pulseCnt[20]~73 ;
326
wire \pulseCnt[21]~74_combout ;
327
wire \pulseCnt[21]~75 ;
328
wire \pulseCnt[22]~76_combout ;
329
wire \pulseCnt[22]~77 ;
330
wire \pulseCnt[23]~78_combout ;
331
wire \pulseCnt[23]~79 ;
332
wire \pulseCnt[24]~80_combout ;
333
wire \pulseCnt[24]~81 ;
334
wire \pulseCnt[25]~82_combout ;
335
wire \Equal3~15_combout ;
336
wire \pulseCnt[25]~83 ;
337
wire \pulseCnt[26]~84_combout ;
338
wire \pulseCnt[26]~85 ;
339
wire \pulseCnt[27]~86_combout ;
340
wire \Equal3~16_combout ;
341
wire \pulseCnt[27]~87 ;
342
wire \pulseCnt[28]~88_combout ;
343
wire \pulseCnt[28]~89 ;
344
wire \pulseCnt[29]~90_combout ;
345
wire \Equal3~17_combout ;
346
wire \pulseCnt[29]~91 ;
347
wire \pulseCnt[30]~92_combout ;
348
wire \pulseCnt[30]~93 ;
349
wire \pulseCnt[31]~94_combout ;
350
wire \Equal3~18_combout ;
351
wire \Equal3~19_combout ;
352
wire \Equal3~20_combout ;
353
wire \Selector2~0_combout ;
354
wire \state.100~q ;
355
wire \wb_interface|size~0_combout ;
356
wire \Selector0~0_combout ;
357
wire \state.000~q ;
358
wire \bitCount[4]~11_combout ;
359
wire \bitCount[0]~8 ;
360
wire \bitCount[1]~9_combout ;
361
wire \wb_interface|size[1]~feeder_combout ;
362
wire \Equal2~0_combout ;
363
wire \bitCount[1]~10 ;
364
wire \bitCount[2]~12_combout ;
365
wire \bitCount[2]~13 ;
366
wire \bitCount[3]~14_combout ;
367
wire \bitCount[3]~15 ;
368
wire \bitCount[4]~16_combout ;
369
wire \bitCount[4]~17 ;
370
wire \bitCount[5]~18_combout ;
371
wire \wb_interface|size[5]~feeder_combout ;
372
wire \Equal2~2_combout ;
373
wire \bitCount[5]~19 ;
374
wire \bitCount[6]~20_combout ;
375
wire \Equal2~3_combout ;
376
wire \Equal2~1_combout ;
377
wire \Equal2~4_combout ;
378
wire \Selector4~1_combout ;
379
wire \state.111~q ;
380
wire \bit~0_combout ;
381
wire \pulseCnt[0]~33 ;
382
wire \pulseCnt[1]~34_combout ;
383
wire \pulseCnt[1]~35 ;
384
wire \pulseCnt[2]~36_combout ;
385
wire \pulseCnt[2]~37 ;
386
wire \pulseCnt[3]~38_combout ;
387
wire \pulseCnt[3]~39 ;
388
wire \pulseCnt[4]~40_combout ;
389
wire \pulseCnt[4]~41 ;
390
wire \pulseCnt[5]~42_combout ;
391
wire \pulseCnt[5]~43 ;
392
wire \pulseCnt[6]~44_combout ;
393
wire \pulseCnt[6]~45 ;
394
wire \pulseCnt[7]~46_combout ;
395
wire \pulseCnt[7]~47 ;
396
wire \pulseCnt[8]~48_combout ;
397
wire \pulseCnt[8]~49 ;
398
wire \pulseCnt[9]~50_combout ;
399
wire \pulseCnt[9]~51 ;
400
wire \pulseCnt[10]~52_combout ;
401
wire \pulseCnt[10]~53 ;
402
wire \pulseCnt[11]~54_combout ;
403
wire \pulseCnt[11]~55 ;
404
wire \pulseCnt[12]~56_combout ;
405
wire \pulseCnt[12]~57 ;
406
wire \pulseCnt[13]~58_combout ;
407
wire \pulseCnt[13]~59 ;
408
wire \pulseCnt[14]~60_combout ;
409
wire \pulseCnt[14]~61 ;
410
wire \pulseCnt[15]~62_combout ;
411
wire \pulseCnt[15]~63 ;
412
wire \pulseCnt[16]~64_combout ;
413
wire \pulseCnt[16]~65 ;
414
wire \pulseCnt[17]~66_combout ;
415
wire \pulseCnt[17]~67 ;
416
wire \pulseCnt[18]~68_combout ;
417
wire \pulseCnt[18]~69 ;
418
wire \pulseCnt[19]~70_combout ;
419
wire \wb_interface|pulsewidth[18]~feeder_combout ;
420
wire \Equal3~11_combout ;
421
wire \Equal3~10_combout ;
422
wire \wb_interface|pulsewidth[20]~feeder_combout ;
423
wire \Equal3~12_combout ;
424
wire \wb_interface|pulsewidth[22]~feeder_combout ;
425
wire \Equal3~13_combout ;
426
wire \Equal3~14_combout ;
427
wire \next_state.110~0_combout ;
428
wire \next_state.110~1_combout ;
429
wire \state.110~q ;
430
wire \bitCountReg[0]~9 ;
431
wire \bitCountReg[1]~10_combout ;
432
wire \Equal0~0_combout ;
433
wire \Selector1~0_combout ;
434
wire \Selector1~1_combout ;
435
wire \state.001~q ;
436
wire \lock_cfg~0_combout ;
437
wire \lock_cfg~q ;
438
wire \wb_interface|always5~0_combout ;
439
wire \wb_interface|wb_dat_rdbk[0]~0_combout ;
440
wire \wb_interface|wb_dat_rdbk[0]~1_combout ;
441
wire \wb_interface|wb_dat_o~0_combout ;
442
wire \wb_interface|wb_dat_rdbk[1]~2_combout ;
443
wire \wb_interface|wb_dat_rdbk[1]~3_combout ;
444
wire \wb_interface|wb_dat_rdbk[2]~4_combout ;
445
wire \wb_interface|wb_dat_rdbk[2]~5_combout ;
446
wire \wb_interface|wb_dat_rdbk[3]~6_combout ;
447
wire \wb_interface|wb_dat_rdbk[3]~7_combout ;
448
wire \wb_interface|wb_dat_rdbk[4]~8_combout ;
449
wire \wb_interface|wb_dat_rdbk[4]~9_combout ;
450
wire \wb_interface|wb_dat_rdbk[5]~10_combout ;
451
wire \wb_interface|wb_dat_rdbk[5]~11_combout ;
452
wire \wb_interface|wb_dat_rdbk[6]~12_combout ;
453
wire \wb_interface|wb_dat_rdbk[6]~13_combout ;
454
wire \wb_interface|wb_dat_rdbk[7]~14_combout ;
455
wire \wb_interface|wb_dat_rdbk[7]~15_combout ;
456
wire \wb_interface|size~1_combout ;
457
wire \wb_interface|wb_dat_rdbk[8]~16_combout ;
458
wire \wb_interface|wb_dat_rdbk[8]~17_combout ;
459
wire \wb_interface|wb_dat_rdbk[9]~18_combout ;
460
wire \wb_interface|wb_dat_rdbk[10]~19_combout ;
461
wire \wb_interface|wb_dat_rdbk[11]~20_combout ;
462
wire \wb_interface|wb_dat_rdbk[12]~21_combout ;
463
wire \wb_interface|wb_dat_rdbk[13]~22_combout ;
464
wire \wb_interface|wb_dat_rdbk[14]~23_combout ;
465
wire \wb_interface|wb_dat_rdbk[15]~24_combout ;
466
wire \wb_interface|wb_dat_rdbk[16]~25_combout ;
467
wire \wb_interface|wb_dat_rdbk[17]~26_combout ;
468
wire \wb_interface|wb_dat_rdbk[18]~27_combout ;
469
wire \wb_interface|wb_dat_rdbk[19]~28_combout ;
470
wire \wb_interface|wb_dat_rdbk[20]~29_combout ;
471
wire \wb_interface|wb_dat_rdbk[21]~30_combout ;
472
wire \wb_interface|wb_dat_rdbk[22]~31_combout ;
473
wire \wb_interface|wb_dat_rdbk[23]~32_combout ;
474
wire \wb_interface|wb_dat_rdbk[24]~33_combout ;
475
wire \wb_interface|wb_dat_rdbk[25]~34_combout ;
476
wire \wb_interface|wb_dat_rdbk[26]~35_combout ;
477
wire \wb_interface|wb_dat_rdbk[27]~36_combout ;
478
wire \wb_interface|wb_dat_rdbk[28]~37_combout ;
479
wire \wb_interface|wb_dat_rdbk[29]~38_combout ;
480
wire \wb_interface|wb_dat_rdbk[30]~39_combout ;
481
wire \wb_interface|wb_dat_rdbk[31]~40_combout ;
482
wire \comb~0_combout ;
483
wire \comb~0clkctrl_outclk ;
484
wire \datafifowrite|custom_fifo_dp5|addr_wr[1]~1_combout ;
485
wire \datafifowrite|custom_fifo_dp5|Equal0~1_combout ;
486
wire \datafifowrite|custom_fifo_dp5|full~0_combout ;
487
wire \datafifowrite|custom_fifo_dp5|full~1_combout ;
488
wire \full_dly~q ;
489
wire \datafifowrite|custom_fifo_dp5|always1~0_combout ;
490
wire \datafifowrite|custom_fifo_dp5|always1~1_combout ;
491
wire \datafifowrite|custom_fifo_dp5|addr_wr[0]~0_combout ;
492
wire \datafifowrite|custom_fifo_dp5|Equal0~0_combout ;
493
wire \datafifowrite|custom_fifo_dp5|always2~0_combout ;
494
wire \datafifowrite|custom_fifo_dp5|addr_rd[0]~1_combout ;
495
wire \datafifowrite|custom_fifo_dp5|addr_rd[1]~0_combout ;
496
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2_combout ;
497
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder_combout ;
498
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3_combout ;
499
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder_combout ;
500
wire \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder_combout ;
501
wire \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder_combout ;
502
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6_combout ;
503
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder_combout ;
504
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4_combout ;
505
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder_combout ;
506
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7_combout ;
507
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder_combout ;
508
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5_combout ;
509
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder_combout ;
510
wire \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder_combout ;
511
wire \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder_combout ;
512
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7_combout ;
513
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder_combout ;
514
wire \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder_combout ;
515
wire \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder_combout ;
516
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2_combout ;
517
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder_combout ;
518
wire \word_out~31_combout ;
519
wire \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder_combout ;
520
wire \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder_combout ;
521
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7_combout ;
522
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder_combout ;
523
wire \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder_combout ;
524
wire \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder_combout ;
525
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6_combout ;
526
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder_combout ;
527
wire \word_out~30_combout ;
528
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5_combout ;
529
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder_combout ;
530
wire \word_out~29_combout ;
531
wire \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder_combout ;
532
wire \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder_combout ;
533
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4_combout ;
534
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder_combout ;
535
wire \word_out~28_combout ;
536
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3_combout ;
537
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder_combout ;
538
wire \word_out~27_combout ;
539
wire \word_out~26_combout ;
540
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1_combout ;
541
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder_combout ;
542
wire \word_out~25_combout ;
543
wire \datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0_combout ;
544
wire \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder_combout ;
545
wire \word_out~24_combout ;
546
wire \word_out~23_combout ;
547
wire \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder_combout ;
548
wire \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder_combout ;
549
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6_combout ;
550
wire \word_out~22_combout ;
551
wire \word_out~21_combout ;
552
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4_combout ;
553
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder_combout ;
554
wire \word_out~20_combout ;
555
wire \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder_combout ;
556
wire \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder_combout ;
557
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3_combout ;
558
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder_combout ;
559
wire \word_out~19_combout ;
560
wire \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder_combout ;
561
wire \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder_combout ;
562
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2_combout ;
563
wire \word_out~18_combout ;
564
wire \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder_combout ;
565
wire \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder_combout ;
566
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1_combout ;
567
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder_combout ;
568
wire \word_out~17_combout ;
569
wire \datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0_combout ;
570
wire \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder_combout ;
571
wire \word_out~16_combout ;
572
wire \word_out~15_combout ;
573
wire \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder_combout ;
574
wire \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder_combout ;
575
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6_combout ;
576
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder_combout ;
577
wire \word_out~14_combout ;
578
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5_combout ;
579
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder_combout ;
580
wire \word_out~13_combout ;
581
wire \word_out~12_combout ;
582
wire \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder_combout ;
583
wire \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder_combout ;
584
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3_combout ;
585
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder_combout ;
586
wire \word_out~11_combout ;
587
wire \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder_combout ;
588
wire \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder_combout ;
589
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2_combout ;
590
wire \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder_combout ;
591
wire \word_out~10_combout ;
592
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1_combout ;
593
wire \word_out~9_combout ;
594
wire \datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0_combout ;
595
wire \word_out~8_combout ;
596
wire \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder_combout ;
597
wire \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder_combout ;
598
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7_combout ;
599
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder_combout ;
600
wire \word_out~7_combout ;
601
wire \word_out~6_combout ;
602
wire \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder_combout ;
603
wire \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder_combout ;
604
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5_combout ;
605
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder_combout ;
606
wire \word_out~5_combout ;
607
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4_combout ;
608
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder_combout ;
609
wire \word_out~4_combout ;
610
wire \word_out~3_combout ;
611
wire \word_out~2_combout ;
612
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1_combout ;
613
wire \word_out~1_combout ;
614
wire \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder_combout ;
615
wire \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder_combout ;
616
wire \datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0_combout ;
617
wire \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder_combout ;
618
wire \word_out~0_combout ;
619
wire \one_o~0_combout ;
620
wire \one_o~reg0_q ;
621
wire \zero_o~0_combout ;
622
wire \zero_o~reg0_q ;
623
wire \wb_interface|err_int~combout ;
624
wire \wb_interface|ack~0_combout ;
625
wire \wb_interface|ack~q ;
626
wire \wb_interface|err~q ;
627
wire \wb_interface|rty_int~0_combout ;
628
wire \wb_interface|rty~q ;
629
wire [31:0] word_out;
630
wire [31:0] pulseCnt;
631
wire [4:0] p2pCnt;
632
wire [6:0] bitCountReg;
633
wire [6:0] bitCount;
634
wire [7:0] \datafifowrite|custom_fifo_dp5|fifo_out ;
635
wire [2:0] \datafifowrite|custom_fifo_dp5|addr_wr ;
636
wire [2:0] \datafifowrite|custom_fifo_dp5|addr_rd ;
637
wire [7:0] \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg ;
638
wire [8:0] \wb_interface|size ;
639
wire [31:0] \wb_interface|pulsewidth ;
640
wire [31:0] \wb_interface|p2p ;
641
wire [7:0] \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg ;
642
wire [7:0] \datafifowrite|custom_fifo_dp6|fifo_out ;
643
wire [7:0] \datafifowrite|custom_fifo_dp8|fifo_out ;
644
wire [7:0] \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg ;
645
wire [7:0] \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg ;
646
wire [7:0] \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg ;
647
wire [7:0] \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg ;
648
wire [7:0] \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg ;
649
wire [7:0] \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg ;
650
wire [7:0] \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg ;
651
wire [7:0] \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg ;
652
wire [7:0] \datafifowrite|custom_fifo_dp7|fifo_out ;
653
wire [7:0] \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg ;
654
wire [7:0] \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg ;
655
 
656
 
657
// Location: IOOBUF_X23_Y0_N9
658
cycloneiv_io_obuf \wb_dat_o[0]~output (
659
        .i(\wb_interface|wb_dat_rdbk[0]~1_combout ),
660
        .oe(\wb_interface|wb_dat_o~0_combout ),
661
        .seriesterminationcontrol(16'b0000000000000000),
662
        .devoe(devoe),
663
        .o(\wb_dat_o[0]~output_o ),
664
        .obar());
665
// synopsys translate_off
666
defparam \wb_dat_o[0]~output .bus_hold = "false";
667
defparam \wb_dat_o[0]~output .open_drain_output = "false";
668
// synopsys translate_on
669
 
670
// Location: IOOBUF_X29_Y0_N9
671
cycloneiv_io_obuf \wb_dat_o[1]~output (
672
        .i(\wb_interface|wb_dat_rdbk[1]~3_combout ),
673
        .oe(\wb_interface|wb_dat_o~0_combout ),
674
        .seriesterminationcontrol(16'b0000000000000000),
675
        .devoe(devoe),
676
        .o(\wb_dat_o[1]~output_o ),
677
        .obar());
678
// synopsys translate_off
679
defparam \wb_dat_o[1]~output .bus_hold = "false";
680
defparam \wb_dat_o[1]~output .open_drain_output = "false";
681
// synopsys translate_on
682
 
683
// Location: IOOBUF_X31_Y41_N9
684
cycloneiv_io_obuf \wb_dat_o[2]~output (
685
        .i(\wb_interface|wb_dat_rdbk[2]~5_combout ),
686
        .oe(\wb_interface|wb_dat_o~0_combout ),
687
        .seriesterminationcontrol(16'b0000000000000000),
688
        .devoe(devoe),
689
        .o(\wb_dat_o[2]~output_o ),
690
        .obar());
691
// synopsys translate_off
692
defparam \wb_dat_o[2]~output .bus_hold = "false";
693
defparam \wb_dat_o[2]~output .open_drain_output = "false";
694
// synopsys translate_on
695
 
696
// Location: IOOBUF_X21_Y0_N9
697
cycloneiv_io_obuf \wb_dat_o[3]~output (
698
        .i(\wb_interface|wb_dat_rdbk[3]~7_combout ),
699
        .oe(\wb_interface|wb_dat_o~0_combout ),
700
        .seriesterminationcontrol(16'b0000000000000000),
701
        .devoe(devoe),
702
        .o(\wb_dat_o[3]~output_o ),
703
        .obar());
704
// synopsys translate_off
705
defparam \wb_dat_o[3]~output .bus_hold = "false";
706
defparam \wb_dat_o[3]~output .open_drain_output = "false";
707
// synopsys translate_on
708
 
709
// Location: IOOBUF_X46_Y0_N16
710
cycloneiv_io_obuf \wb_dat_o[4]~output (
711
        .i(\wb_interface|wb_dat_rdbk[4]~9_combout ),
712
        .oe(\wb_interface|wb_dat_o~0_combout ),
713
        .seriesterminationcontrol(16'b0000000000000000),
714
        .devoe(devoe),
715
        .o(\wb_dat_o[4]~output_o ),
716
        .obar());
717
// synopsys translate_off
718
defparam \wb_dat_o[4]~output .bus_hold = "false";
719
defparam \wb_dat_o[4]~output .open_drain_output = "false";
720
// synopsys translate_on
721
 
722
// Location: IOOBUF_X36_Y0_N2
723
cycloneiv_io_obuf \wb_dat_o[5]~output (
724
        .i(\wb_interface|wb_dat_rdbk[5]~11_combout ),
725
        .oe(\wb_interface|wb_dat_o~0_combout ),
726
        .seriesterminationcontrol(16'b0000000000000000),
727
        .devoe(devoe),
728
        .o(\wb_dat_o[5]~output_o ),
729
        .obar());
730
// synopsys translate_off
731
defparam \wb_dat_o[5]~output .bus_hold = "false";
732
defparam \wb_dat_o[5]~output .open_drain_output = "false";
733
// synopsys translate_on
734
 
735
// Location: IOOBUF_X46_Y0_N23
736
cycloneiv_io_obuf \wb_dat_o[6]~output (
737
        .i(\wb_interface|wb_dat_rdbk[6]~13_combout ),
738
        .oe(\wb_interface|wb_dat_o~0_combout ),
739
        .seriesterminationcontrol(16'b0000000000000000),
740
        .devoe(devoe),
741
        .o(\wb_dat_o[6]~output_o ),
742
        .obar());
743
// synopsys translate_off
744
defparam \wb_dat_o[6]~output .bus_hold = "false";
745
defparam \wb_dat_o[6]~output .open_drain_output = "false";
746
// synopsys translate_on
747
 
748
// Location: IOOBUF_X52_Y10_N2
749
cycloneiv_io_obuf \wb_dat_o[7]~output (
750
        .i(\wb_interface|wb_dat_rdbk[7]~15_combout ),
751
        .oe(\wb_interface|wb_dat_o~0_combout ),
752
        .seriesterminationcontrol(16'b0000000000000000),
753
        .devoe(devoe),
754
        .o(\wb_dat_o[7]~output_o ),
755
        .obar());
756
// synopsys translate_off
757
defparam \wb_dat_o[7]~output .bus_hold = "false";
758
defparam \wb_dat_o[7]~output .open_drain_output = "false";
759
// synopsys translate_on
760
 
761
// Location: IOOBUF_X18_Y0_N9
762
cycloneiv_io_obuf \wb_dat_o[8]~output (
763
        .i(\wb_interface|wb_dat_rdbk[8]~17_combout ),
764
        .oe(\wb_interface|wb_dat_o~0_combout ),
765
        .seriesterminationcontrol(16'b0000000000000000),
766
        .devoe(devoe),
767
        .o(\wb_dat_o[8]~output_o ),
768
        .obar());
769
// synopsys translate_off
770
defparam \wb_dat_o[8]~output .bus_hold = "false";
771
defparam \wb_dat_o[8]~output .open_drain_output = "false";
772
// synopsys translate_on
773
 
774
// Location: IOOBUF_X25_Y41_N9
775
cycloneiv_io_obuf \wb_dat_o[9]~output (
776
        .i(\wb_interface|wb_dat_rdbk[9]~18_combout ),
777
        .oe(\wb_interface|wb_dat_o~0_combout ),
778
        .seriesterminationcontrol(16'b0000000000000000),
779
        .devoe(devoe),
780
        .o(\wb_dat_o[9]~output_o ),
781
        .obar());
782
// synopsys translate_off
783
defparam \wb_dat_o[9]~output .bus_hold = "false";
784
defparam \wb_dat_o[9]~output .open_drain_output = "false";
785
// synopsys translate_on
786
 
787
// Location: IOOBUF_X52_Y23_N2
788
cycloneiv_io_obuf \wb_dat_o[10]~output (
789
        .i(\wb_interface|wb_dat_rdbk[10]~19_combout ),
790
        .oe(\wb_interface|wb_dat_o~0_combout ),
791
        .seriesterminationcontrol(16'b0000000000000000),
792
        .devoe(devoe),
793
        .o(\wb_dat_o[10]~output_o ),
794
        .obar());
795
// synopsys translate_off
796
defparam \wb_dat_o[10]~output .bus_hold = "false";
797
defparam \wb_dat_o[10]~output .open_drain_output = "false";
798
// synopsys translate_on
799
 
800
// Location: IOOBUF_X21_Y41_N9
801
cycloneiv_io_obuf \wb_dat_o[11]~output (
802
        .i(\wb_interface|wb_dat_rdbk[11]~20_combout ),
803
        .oe(\wb_interface|wb_dat_o~0_combout ),
804
        .seriesterminationcontrol(16'b0000000000000000),
805
        .devoe(devoe),
806
        .o(\wb_dat_o[11]~output_o ),
807
        .obar());
808
// synopsys translate_off
809
defparam \wb_dat_o[11]~output .bus_hold = "false";
810
defparam \wb_dat_o[11]~output .open_drain_output = "false";
811
// synopsys translate_on
812
 
813
// Location: IOOBUF_X16_Y0_N9
814
cycloneiv_io_obuf \wb_dat_o[12]~output (
815
        .i(\wb_interface|wb_dat_rdbk[12]~21_combout ),
816
        .oe(\wb_interface|wb_dat_o~0_combout ),
817
        .seriesterminationcontrol(16'b0000000000000000),
818
        .devoe(devoe),
819
        .o(\wb_dat_o[12]~output_o ),
820
        .obar());
821
// synopsys translate_off
822
defparam \wb_dat_o[12]~output .bus_hold = "false";
823
defparam \wb_dat_o[12]~output .open_drain_output = "false";
824
// synopsys translate_on
825
 
826
// Location: IOOBUF_X18_Y0_N2
827
cycloneiv_io_obuf \wb_dat_o[13]~output (
828
        .i(\wb_interface|wb_dat_rdbk[13]~22_combout ),
829
        .oe(\wb_interface|wb_dat_o~0_combout ),
830
        .seriesterminationcontrol(16'b0000000000000000),
831
        .devoe(devoe),
832
        .o(\wb_dat_o[13]~output_o ),
833
        .obar());
834
// synopsys translate_off
835
defparam \wb_dat_o[13]~output .bus_hold = "false";
836
defparam \wb_dat_o[13]~output .open_drain_output = "false";
837
// synopsys translate_on
838
 
839
// Location: IOOBUF_X25_Y41_N2
840
cycloneiv_io_obuf \wb_dat_o[14]~output (
841
        .i(\wb_interface|wb_dat_rdbk[14]~23_combout ),
842
        .oe(\wb_interface|wb_dat_o~0_combout ),
843
        .seriesterminationcontrol(16'b0000000000000000),
844
        .devoe(devoe),
845
        .o(\wb_dat_o[14]~output_o ),
846
        .obar());
847
// synopsys translate_off
848
defparam \wb_dat_o[14]~output .bus_hold = "false";
849
defparam \wb_dat_o[14]~output .open_drain_output = "false";
850
// synopsys translate_on
851
 
852
// Location: IOOBUF_X31_Y41_N16
853
cycloneiv_io_obuf \wb_dat_o[15]~output (
854
        .i(\wb_interface|wb_dat_rdbk[15]~24_combout ),
855
        .oe(\wb_interface|wb_dat_o~0_combout ),
856
        .seriesterminationcontrol(16'b0000000000000000),
857
        .devoe(devoe),
858
        .o(\wb_dat_o[15]~output_o ),
859
        .obar());
860
// synopsys translate_off
861
defparam \wb_dat_o[15]~output .bus_hold = "false";
862
defparam \wb_dat_o[15]~output .open_drain_output = "false";
863
// synopsys translate_on
864
 
865
// Location: IOOBUF_X14_Y41_N9
866
cycloneiv_io_obuf \wb_dat_o[16]~output (
867
        .i(\wb_interface|wb_dat_rdbk[16]~25_combout ),
868
        .oe(\wb_interface|wb_dat_o~0_combout ),
869
        .seriesterminationcontrol(16'b0000000000000000),
870
        .devoe(devoe),
871
        .o(\wb_dat_o[16]~output_o ),
872
        .obar());
873
// synopsys translate_off
874
defparam \wb_dat_o[16]~output .bus_hold = "false";
875
defparam \wb_dat_o[16]~output .open_drain_output = "false";
876
// synopsys translate_on
877
 
878
// Location: IOOBUF_X31_Y41_N23
879
cycloneiv_io_obuf \wb_dat_o[17]~output (
880
        .i(\wb_interface|wb_dat_rdbk[17]~26_combout ),
881
        .oe(\wb_interface|wb_dat_o~0_combout ),
882
        .seriesterminationcontrol(16'b0000000000000000),
883
        .devoe(devoe),
884
        .o(\wb_dat_o[17]~output_o ),
885
        .obar());
886
// synopsys translate_off
887
defparam \wb_dat_o[17]~output .bus_hold = "false";
888
defparam \wb_dat_o[17]~output .open_drain_output = "false";
889
// synopsys translate_on
890
 
891
// Location: IOOBUF_X23_Y41_N9
892
cycloneiv_io_obuf \wb_dat_o[18]~output (
893
        .i(\wb_interface|wb_dat_rdbk[18]~27_combout ),
894
        .oe(\wb_interface|wb_dat_o~0_combout ),
895
        .seriesterminationcontrol(16'b0000000000000000),
896
        .devoe(devoe),
897
        .o(\wb_dat_o[18]~output_o ),
898
        .obar());
899
// synopsys translate_off
900
defparam \wb_dat_o[18]~output .bus_hold = "false";
901
defparam \wb_dat_o[18]~output .open_drain_output = "false";
902
// synopsys translate_on
903
 
904
// Location: IOOBUF_X23_Y0_N2
905
cycloneiv_io_obuf \wb_dat_o[19]~output (
906
        .i(\wb_interface|wb_dat_rdbk[19]~28_combout ),
907
        .oe(\wb_interface|wb_dat_o~0_combout ),
908
        .seriesterminationcontrol(16'b0000000000000000),
909
        .devoe(devoe),
910
        .o(\wb_dat_o[19]~output_o ),
911
        .obar());
912
// synopsys translate_off
913
defparam \wb_dat_o[19]~output .bus_hold = "false";
914
defparam \wb_dat_o[19]~output .open_drain_output = "false";
915
// synopsys translate_on
916
 
917
// Location: IOOBUF_X12_Y0_N9
918
cycloneiv_io_obuf \wb_dat_o[20]~output (
919
        .i(\wb_interface|wb_dat_rdbk[20]~29_combout ),
920
        .oe(\wb_interface|wb_dat_o~0_combout ),
921
        .seriesterminationcontrol(16'b0000000000000000),
922
        .devoe(devoe),
923
        .o(\wb_dat_o[20]~output_o ),
924
        .obar());
925
// synopsys translate_off
926
defparam \wb_dat_o[20]~output .bus_hold = "false";
927
defparam \wb_dat_o[20]~output .open_drain_output = "false";
928
// synopsys translate_on
929
 
930
// Location: IOOBUF_X14_Y0_N9
931
cycloneiv_io_obuf \wb_dat_o[21]~output (
932
        .i(\wb_interface|wb_dat_rdbk[21]~30_combout ),
933
        .oe(\wb_interface|wb_dat_o~0_combout ),
934
        .seriesterminationcontrol(16'b0000000000000000),
935
        .devoe(devoe),
936
        .o(\wb_dat_o[21]~output_o ),
937
        .obar());
938
// synopsys translate_off
939
defparam \wb_dat_o[21]~output .bus_hold = "false";
940
defparam \wb_dat_o[21]~output .open_drain_output = "false";
941
// synopsys translate_on
942
 
943
// Location: IOOBUF_X14_Y0_N2
944
cycloneiv_io_obuf \wb_dat_o[22]~output (
945
        .i(\wb_interface|wb_dat_rdbk[22]~31_combout ),
946
        .oe(\wb_interface|wb_dat_o~0_combout ),
947
        .seriesterminationcontrol(16'b0000000000000000),
948
        .devoe(devoe),
949
        .o(\wb_dat_o[22]~output_o ),
950
        .obar());
951
// synopsys translate_off
952
defparam \wb_dat_o[22]~output .bus_hold = "false";
953
defparam \wb_dat_o[22]~output .open_drain_output = "false";
954
// synopsys translate_on
955
 
956
// Location: IOOBUF_X16_Y0_N2
957
cycloneiv_io_obuf \wb_dat_o[23]~output (
958
        .i(\wb_interface|wb_dat_rdbk[23]~32_combout ),
959
        .oe(\wb_interface|wb_dat_o~0_combout ),
960
        .seriesterminationcontrol(16'b0000000000000000),
961
        .devoe(devoe),
962
        .o(\wb_dat_o[23]~output_o ),
963
        .obar());
964
// synopsys translate_off
965
defparam \wb_dat_o[23]~output .bus_hold = "false";
966
defparam \wb_dat_o[23]~output .open_drain_output = "false";
967
// synopsys translate_on
968
 
969
// Location: IOOBUF_X12_Y0_N2
970
cycloneiv_io_obuf \wb_dat_o[24]~output (
971
        .i(\wb_interface|wb_dat_rdbk[24]~33_combout ),
972
        .oe(\wb_interface|wb_dat_o~0_combout ),
973
        .seriesterminationcontrol(16'b0000000000000000),
974
        .devoe(devoe),
975
        .o(\wb_dat_o[24]~output_o ),
976
        .obar());
977
// synopsys translate_off
978
defparam \wb_dat_o[24]~output .bus_hold = "false";
979
defparam \wb_dat_o[24]~output .open_drain_output = "false";
980
// synopsys translate_on
981
 
982
// Location: IOOBUF_X23_Y41_N2
983
cycloneiv_io_obuf \wb_dat_o[25]~output (
984
        .i(\wb_interface|wb_dat_rdbk[25]~34_combout ),
985
        .oe(\wb_interface|wb_dat_o~0_combout ),
986
        .seriesterminationcontrol(16'b0000000000000000),
987
        .devoe(devoe),
988
        .o(\wb_dat_o[25]~output_o ),
989
        .obar());
990
// synopsys translate_off
991
defparam \wb_dat_o[25]~output .bus_hold = "false";
992
defparam \wb_dat_o[25]~output .open_drain_output = "false";
993
// synopsys translate_on
994
 
995
// Location: IOOBUF_X52_Y19_N2
996
cycloneiv_io_obuf \wb_dat_o[26]~output (
997
        .i(\wb_interface|wb_dat_rdbk[26]~35_combout ),
998
        .oe(\wb_interface|wb_dat_o~0_combout ),
999
        .seriesterminationcontrol(16'b0000000000000000),
1000
        .devoe(devoe),
1001
        .o(\wb_dat_o[26]~output_o ),
1002
        .obar());
1003
// synopsys translate_off
1004
defparam \wb_dat_o[26]~output .bus_hold = "false";
1005
defparam \wb_dat_o[26]~output .open_drain_output = "false";
1006
// synopsys translate_on
1007
 
1008
// Location: IOOBUF_X31_Y41_N2
1009
cycloneiv_io_obuf \wb_dat_o[27]~output (
1010
        .i(\wb_interface|wb_dat_rdbk[27]~36_combout ),
1011
        .oe(\wb_interface|wb_dat_o~0_combout ),
1012
        .seriesterminationcontrol(16'b0000000000000000),
1013
        .devoe(devoe),
1014
        .o(\wb_dat_o[27]~output_o ),
1015
        .obar());
1016
// synopsys translate_off
1017
defparam \wb_dat_o[27]~output .bus_hold = "false";
1018
defparam \wb_dat_o[27]~output .open_drain_output = "false";
1019
// synopsys translate_on
1020
 
1021
// Location: IOOBUF_X52_Y10_N9
1022
cycloneiv_io_obuf \wb_dat_o[28]~output (
1023
        .i(\wb_interface|wb_dat_rdbk[28]~37_combout ),
1024
        .oe(\wb_interface|wb_dat_o~0_combout ),
1025
        .seriesterminationcontrol(16'b0000000000000000),
1026
        .devoe(devoe),
1027
        .o(\wb_dat_o[28]~output_o ),
1028
        .obar());
1029
// synopsys translate_off
1030
defparam \wb_dat_o[28]~output .bus_hold = "false";
1031
defparam \wb_dat_o[28]~output .open_drain_output = "false";
1032
// synopsys translate_on
1033
 
1034
// Location: IOOBUF_X52_Y19_N9
1035
cycloneiv_io_obuf \wb_dat_o[29]~output (
1036
        .i(\wb_interface|wb_dat_rdbk[29]~38_combout ),
1037
        .oe(\wb_interface|wb_dat_o~0_combout ),
1038
        .seriesterminationcontrol(16'b0000000000000000),
1039
        .devoe(devoe),
1040
        .o(\wb_dat_o[29]~output_o ),
1041
        .obar());
1042
// synopsys translate_off
1043
defparam \wb_dat_o[29]~output .bus_hold = "false";
1044
defparam \wb_dat_o[29]~output .open_drain_output = "false";
1045
// synopsys translate_on
1046
 
1047
// Location: IOOBUF_X52_Y12_N2
1048
cycloneiv_io_obuf \wb_dat_o[30]~output (
1049
        .i(\wb_interface|wb_dat_rdbk[30]~39_combout ),
1050
        .oe(\wb_interface|wb_dat_o~0_combout ),
1051
        .seriesterminationcontrol(16'b0000000000000000),
1052
        .devoe(devoe),
1053
        .o(\wb_dat_o[30]~output_o ),
1054
        .obar());
1055
// synopsys translate_off
1056
defparam \wb_dat_o[30]~output .bus_hold = "false";
1057
defparam \wb_dat_o[30]~output .open_drain_output = "false";
1058
// synopsys translate_on
1059
 
1060
// Location: IOOBUF_X10_Y0_N2
1061
cycloneiv_io_obuf \wb_dat_o[31]~output (
1062
        .i(\wb_interface|wb_dat_rdbk[31]~40_combout ),
1063
        .oe(\wb_interface|wb_dat_o~0_combout ),
1064
        .seriesterminationcontrol(16'b0000000000000000),
1065
        .devoe(devoe),
1066
        .o(\wb_dat_o[31]~output_o ),
1067
        .obar());
1068
// synopsys translate_off
1069
defparam \wb_dat_o[31]~output .bus_hold = "false";
1070
defparam \wb_dat_o[31]~output .open_drain_output = "false";
1071
// synopsys translate_on
1072
 
1073
// Location: IOOBUF_X29_Y41_N2
1074
cycloneiv_io_obuf \one_o~output (
1075
        .i(!\one_o~reg0_q ),
1076
        .oe(vcc),
1077
        .seriesterminationcontrol(16'b0000000000000000),
1078
        .devoe(devoe),
1079
        .o(\one_o~output_o ),
1080
        .obar());
1081
// synopsys translate_off
1082
defparam \one_o~output .bus_hold = "false";
1083
defparam \one_o~output .open_drain_output = "false";
1084
// synopsys translate_on
1085
 
1086
// Location: IOOBUF_X52_Y27_N2
1087
cycloneiv_io_obuf \zero_o~output (
1088
        .i(!\zero_o~reg0_q ),
1089
        .oe(vcc),
1090
        .seriesterminationcontrol(16'b0000000000000000),
1091
        .devoe(devoe),
1092
        .o(\zero_o~output_o ),
1093
        .obar());
1094
// synopsys translate_off
1095
defparam \zero_o~output .bus_hold = "false";
1096
defparam \zero_o~output .open_drain_output = "false";
1097
// synopsys translate_on
1098
 
1099
// Location: IOOBUF_X38_Y0_N9
1100
cycloneiv_io_obuf \wb_ack_o~output (
1101
        .i(\wb_interface|ack~q ),
1102
        .oe(vcc),
1103
        .seriesterminationcontrol(16'b0000000000000000),
1104
        .devoe(devoe),
1105
        .o(\wb_ack_o~output_o ),
1106
        .obar());
1107
// synopsys translate_off
1108
defparam \wb_ack_o~output .bus_hold = "false";
1109
defparam \wb_ack_o~output .open_drain_output = "false";
1110
// synopsys translate_on
1111
 
1112
// Location: IOOBUF_X48_Y0_N2
1113
cycloneiv_io_obuf \wb_err_o~output (
1114
        .i(\wb_interface|err~q ),
1115
        .oe(vcc),
1116
        .seriesterminationcontrol(16'b0000000000000000),
1117
        .devoe(devoe),
1118
        .o(\wb_err_o~output_o ),
1119
        .obar());
1120
// synopsys translate_off
1121
defparam \wb_err_o~output .bus_hold = "false";
1122
defparam \wb_err_o~output .open_drain_output = "false";
1123
// synopsys translate_on
1124
 
1125
// Location: IOOBUF_X38_Y0_N2
1126
cycloneiv_io_obuf \wb_rty_o~output (
1127
        .i(\wb_interface|rty~q ),
1128
        .oe(vcc),
1129
        .seriesterminationcontrol(16'b0000000000000000),
1130
        .devoe(devoe),
1131
        .o(\wb_rty_o~output_o ),
1132
        .obar());
1133
// synopsys translate_off
1134
defparam \wb_rty_o~output .bus_hold = "false";
1135
defparam \wb_rty_o~output .open_drain_output = "false";
1136
// synopsys translate_on
1137
 
1138
// Location: IOIBUF_X36_Y0_N8
1139
cycloneiv_io_ibuf \wb_adr_i[0]~input (
1140
        .i(wb_adr_i[0]),
1141
        .ibar(gnd),
1142
        .o(\wb_adr_i[0]~input_o ));
1143
// synopsys translate_off
1144
defparam \wb_adr_i[0]~input .bus_hold = "false";
1145
defparam \wb_adr_i[0]~input .simulate_z_as = "z";
1146
// synopsys translate_on
1147
 
1148
// Location: IOIBUF_X46_Y0_N1
1149
cycloneiv_io_ibuf \wb_adr_i[1]~input (
1150
        .i(wb_adr_i[1]),
1151
        .ibar(gnd),
1152
        .o(\wb_adr_i[1]~input_o ));
1153
// synopsys translate_off
1154
defparam \wb_adr_i[1]~input .bus_hold = "false";
1155
defparam \wb_adr_i[1]~input .simulate_z_as = "z";
1156
// synopsys translate_on
1157
 
1158
// Location: IOIBUF_X52_Y15_N8
1159
cycloneiv_io_ibuf \wb_adr_i[2]~input (
1160
        .i(wb_adr_i[2]),
1161
        .ibar(gnd),
1162
        .o(\wb_adr_i[2]~input_o ));
1163
// synopsys translate_off
1164
defparam \wb_adr_i[2]~input .bus_hold = "false";
1165
defparam \wb_adr_i[2]~input .simulate_z_as = "z";
1166
// synopsys translate_on
1167
 
1168
// Location: IOIBUF_X52_Y16_N1
1169
cycloneiv_io_ibuf \wb_adr_i[5]~input (
1170
        .i(wb_adr_i[5]),
1171
        .ibar(gnd),
1172
        .o(\wb_adr_i[5]~input_o ));
1173
// synopsys translate_off
1174
defparam \wb_adr_i[5]~input .bus_hold = "false";
1175
defparam \wb_adr_i[5]~input .simulate_z_as = "z";
1176
// synopsys translate_on
1177
 
1178
// Location: IOIBUF_X52_Y15_N1
1179
cycloneiv_io_ibuf \wb_adr_i[3]~input (
1180
        .i(wb_adr_i[3]),
1181
        .ibar(gnd),
1182
        .o(\wb_adr_i[3]~input_o ));
1183
// synopsys translate_off
1184
defparam \wb_adr_i[3]~input .bus_hold = "false";
1185
defparam \wb_adr_i[3]~input .simulate_z_as = "z";
1186
// synopsys translate_on
1187
 
1188
// Location: IOIBUF_X52_Y18_N8
1189
cycloneiv_io_ibuf \wb_adr_i[4]~input (
1190
        .i(wb_adr_i[4]),
1191
        .ibar(gnd),
1192
        .o(\wb_adr_i[4]~input_o ));
1193
// synopsys translate_off
1194
defparam \wb_adr_i[4]~input .bus_hold = "false";
1195
defparam \wb_adr_i[4]~input .simulate_z_as = "z";
1196
// synopsys translate_on
1197
 
1198
// Location: LCCOMB_X51_Y15_N24
1199
cycloneiv_lcell_comb \wb_interface|Equal2~0 (
1200
// Equation(s):
1201
// \wb_interface|Equal2~0_combout  = (!\wb_adr_i[2]~input_o  & (!\wb_adr_i[5]~input_o  & (!\wb_adr_i[3]~input_o  & !\wb_adr_i[4]~input_o )))
1202
 
1203
        .dataa(\wb_adr_i[2]~input_o ),
1204
        .datab(\wb_adr_i[5]~input_o ),
1205
        .datac(\wb_adr_i[3]~input_o ),
1206
        .datad(\wb_adr_i[4]~input_o ),
1207
        .cin(gnd),
1208
        .combout(\wb_interface|Equal2~0_combout ),
1209
        .cout());
1210
// synopsys translate_off
1211
defparam \wb_interface|Equal2~0 .lut_mask = 16'h0001;
1212
defparam \wb_interface|Equal2~0 .sum_lutc_input = "datac";
1213
// synopsys translate_on
1214
 
1215
// Location: LCCOMB_X31_Y3_N26
1216
cycloneiv_lcell_comb \wb_interface|Equal1~0 (
1217
// Equation(s):
1218
// \wb_interface|Equal1~0_combout  = (\wb_adr_i[0]~input_o  & (\wb_adr_i[1]~input_o  & \wb_interface|Equal2~0_combout ))
1219
 
1220
        .dataa(\wb_adr_i[0]~input_o ),
1221
        .datab(gnd),
1222
        .datac(\wb_adr_i[1]~input_o ),
1223
        .datad(\wb_interface|Equal2~0_combout ),
1224
        .cin(gnd),
1225
        .combout(\wb_interface|Equal1~0_combout ),
1226
        .cout());
1227
// synopsys translate_off
1228
defparam \wb_interface|Equal1~0 .lut_mask = 16'hA000;
1229
defparam \wb_interface|Equal1~0 .sum_lutc_input = "datac";
1230
// synopsys translate_on
1231
 
1232
// Location: IOIBUF_X27_Y0_N15
1233
cycloneiv_io_ibuf \wb_clk_i~input (
1234
        .i(wb_clk_i),
1235
        .ibar(gnd),
1236
        .o(\wb_clk_i~input_o ));
1237
// synopsys translate_off
1238
defparam \wb_clk_i~input .bus_hold = "false";
1239
defparam \wb_clk_i~input .simulate_z_as = "z";
1240
// synopsys translate_on
1241
 
1242
// Location: CLKCTRL_G17
1243
cycloneiv_clkctrl \wb_clk_i~inputclkctrl (
1244
        .ena(vcc),
1245
        .inclk({vcc,vcc,vcc,\wb_clk_i~input_o }),
1246
        .clkselect(2'b00),
1247
        .devclrn(devclrn),
1248
        .devpor(devpor),
1249
        .outclk(\wb_clk_i~inputclkctrl_outclk ));
1250
// synopsys translate_off
1251
defparam \wb_clk_i~inputclkctrl .clock_type = "global clock";
1252
defparam \wb_clk_i~inputclkctrl .ena_register_mode = "none";
1253
// synopsys translate_on
1254
 
1255
// Location: IOIBUF_X25_Y0_N1
1256
cycloneiv_io_ibuf \wb_dat_i[0]~input (
1257
        .i(wb_dat_i[0]),
1258
        .ibar(gnd),
1259
        .o(\wb_dat_i[0]~input_o ));
1260
// synopsys translate_off
1261
defparam \wb_dat_i[0]~input .bus_hold = "false";
1262
defparam \wb_dat_i[0]~input .simulate_z_as = "z";
1263
// synopsys translate_on
1264
 
1265
// Location: IOIBUF_X27_Y0_N22
1266
cycloneiv_io_ibuf \wb_rst_i~input (
1267
        .i(wb_rst_i),
1268
        .ibar(gnd),
1269
        .o(\wb_rst_i~input_o ));
1270
// synopsys translate_off
1271
defparam \wb_rst_i~input .bus_hold = "false";
1272
defparam \wb_rst_i~input .simulate_z_as = "z";
1273
// synopsys translate_on
1274
 
1275
// Location: CLKCTRL_G19
1276
cycloneiv_clkctrl \wb_rst_i~inputclkctrl (
1277
        .ena(vcc),
1278
        .inclk({vcc,vcc,vcc,\wb_rst_i~input_o }),
1279
        .clkselect(2'b00),
1280
        .devclrn(devclrn),
1281
        .devpor(devpor),
1282
        .outclk(\wb_rst_i~inputclkctrl_outclk ));
1283
// synopsys translate_off
1284
defparam \wb_rst_i~inputclkctrl .clock_type = "global clock";
1285
defparam \wb_rst_i~inputclkctrl .ena_register_mode = "none";
1286
// synopsys translate_on
1287
 
1288
// Location: LCCOMB_X30_Y2_N18
1289
cycloneiv_lcell_comb \bitCountReg[0]~8 (
1290
// Equation(s):
1291
// \bitCountReg[0]~8_combout  = bitCountReg[0] $ (VCC)
1292
// \bitCountReg[0]~9  = CARRY(bitCountReg[0])
1293
 
1294
        .dataa(bitCountReg[0]),
1295
        .datab(gnd),
1296
        .datac(gnd),
1297
        .datad(vcc),
1298
        .cin(gnd),
1299
        .combout(\bitCountReg[0]~8_combout ),
1300
        .cout(\bitCountReg[0]~9 ));
1301
// synopsys translate_off
1302
defparam \bitCountReg[0]~8 .lut_mask = 16'h55AA;
1303
defparam \bitCountReg[0]~8 .sum_lutc_input = "datac";
1304
// synopsys translate_on
1305
 
1306
// Location: LCCOMB_X26_Y4_N0
1307
cycloneiv_lcell_comb \pulseCnt[0]~32 (
1308
// Equation(s):
1309
// \pulseCnt[0]~32_combout  = pulseCnt[0] $ (VCC)
1310
// \pulseCnt[0]~33  = CARRY(pulseCnt[0])
1311
 
1312
        .dataa(gnd),
1313
        .datab(pulseCnt[0]),
1314
        .datac(gnd),
1315
        .datad(vcc),
1316
        .cin(gnd),
1317
        .combout(\pulseCnt[0]~32_combout ),
1318
        .cout(\pulseCnt[0]~33 ));
1319
// synopsys translate_off
1320
defparam \pulseCnt[0]~32 .lut_mask = 16'h33CC;
1321
defparam \pulseCnt[0]~32 .sum_lutc_input = "datac";
1322
// synopsys translate_on
1323
 
1324
// Location: IOIBUF_X31_Y0_N1
1325
cycloneiv_io_ibuf \wb_dat_i[5]~input (
1326
        .i(wb_dat_i[5]),
1327
        .ibar(gnd),
1328
        .o(\wb_dat_i[5]~input_o ));
1329
// synopsys translate_off
1330
defparam \wb_dat_i[5]~input .bus_hold = "false";
1331
defparam \wb_dat_i[5]~input .simulate_z_as = "z";
1332
// synopsys translate_on
1333
 
1334
// Location: LCCOMB_X31_Y3_N22
1335
cycloneiv_lcell_comb \wb_interface|Equal2~1 (
1336
// Equation(s):
1337
// \wb_interface|Equal2~1_combout  = (!\wb_adr_i[0]~input_o  & (\wb_adr_i[1]~input_o  & \wb_interface|Equal2~0_combout ))
1338
 
1339
        .dataa(\wb_adr_i[0]~input_o ),
1340
        .datab(gnd),
1341
        .datac(\wb_adr_i[1]~input_o ),
1342
        .datad(\wb_interface|Equal2~0_combout ),
1343
        .cin(gnd),
1344
        .combout(\wb_interface|Equal2~1_combout ),
1345
        .cout());
1346
// synopsys translate_off
1347
defparam \wb_interface|Equal2~1 .lut_mask = 16'h5000;
1348
defparam \wb_interface|Equal2~1 .sum_lutc_input = "datac";
1349
// synopsys translate_on
1350
 
1351
// Location: IOIBUF_X43_Y0_N8
1352
cycloneiv_io_ibuf \wb_stb_i~input (
1353
        .i(wb_stb_i),
1354
        .ibar(gnd),
1355
        .o(\wb_stb_i~input_o ));
1356
// synopsys translate_off
1357
defparam \wb_stb_i~input .bus_hold = "false";
1358
defparam \wb_stb_i~input .simulate_z_as = "z";
1359
// synopsys translate_on
1360
 
1361
// Location: IOIBUF_X50_Y0_N1
1362
cycloneiv_io_ibuf \wb_cyc_i~input (
1363
        .i(wb_cyc_i),
1364
        .ibar(gnd),
1365
        .o(\wb_cyc_i~input_o ));
1366
// synopsys translate_off
1367
defparam \wb_cyc_i~input .bus_hold = "false";
1368
defparam \wb_cyc_i~input .simulate_z_as = "z";
1369
// synopsys translate_on
1370
 
1371
// Location: LCCOMB_X31_Y3_N0
1372
cycloneiv_lcell_comb \wb_interface|wb_dat_o~1 (
1373
// Equation(s):
1374
// \wb_interface|wb_dat_o~1_combout  = (\wb_stb_i~input_o  & \wb_cyc_i~input_o )
1375
 
1376
        .dataa(gnd),
1377
        .datab(\wb_stb_i~input_o ),
1378
        .datac(gnd),
1379
        .datad(\wb_cyc_i~input_o ),
1380
        .cin(gnd),
1381
        .combout(\wb_interface|wb_dat_o~1_combout ),
1382
        .cout());
1383
// synopsys translate_off
1384
defparam \wb_interface|wb_dat_o~1 .lut_mask = 16'hCC00;
1385
defparam \wb_interface|wb_dat_o~1 .sum_lutc_input = "datac";
1386
// synopsys translate_on
1387
 
1388
// Location: IOIBUF_X34_Y0_N8
1389
cycloneiv_io_ibuf \wb_we_i~input (
1390
        .i(wb_we_i),
1391
        .ibar(gnd),
1392
        .o(\wb_we_i~input_o ));
1393
// synopsys translate_off
1394
defparam \wb_we_i~input .bus_hold = "false";
1395
defparam \wb_we_i~input .simulate_z_as = "z";
1396
// synopsys translate_on
1397
 
1398
// Location: LCCOMB_X28_Y4_N30
1399
cycloneiv_lcell_comb \wb_interface|always4~0 (
1400
// Equation(s):
1401
// \wb_interface|always4~0_combout  = (\wb_interface|Equal2~1_combout  & (!\lock_cfg~q  & (\wb_interface|wb_dat_o~1_combout  & \wb_we_i~input_o )))
1402
 
1403
        .dataa(\wb_interface|Equal2~1_combout ),
1404
        .datab(\lock_cfg~q ),
1405
        .datac(\wb_interface|wb_dat_o~1_combout ),
1406
        .datad(\wb_we_i~input_o ),
1407
        .cin(gnd),
1408
        .combout(\wb_interface|always4~0_combout ),
1409
        .cout());
1410
// synopsys translate_off
1411
defparam \wb_interface|always4~0 .lut_mask = 16'h2000;
1412
defparam \wb_interface|always4~0 .sum_lutc_input = "datac";
1413
// synopsys translate_on
1414
 
1415
// Location: FF_X27_Y4_N13
1416
dffeas \wb_interface|p2p[5] (
1417
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1418
        .d(gnd),
1419
        .asdata(\wb_dat_i[5]~input_o ),
1420
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1421
        .aload(gnd),
1422
        .sclr(gnd),
1423
        .sload(vcc),
1424
        .ena(\wb_interface|always4~0_combout ),
1425
        .devclrn(devclrn),
1426
        .devpor(devpor),
1427
        .q(\wb_interface|p2p [5]),
1428
        .prn(vcc));
1429
// synopsys translate_off
1430
defparam \wb_interface|p2p[5] .is_wysiwyg = "true";
1431
defparam \wb_interface|p2p[5] .power_up = "low";
1432
// synopsys translate_on
1433
 
1434
// Location: IOIBUF_X41_Y0_N8
1435
cycloneiv_io_ibuf \wb_dat_i[9]~input (
1436
        .i(wb_dat_i[9]),
1437
        .ibar(gnd),
1438
        .o(\wb_dat_i[9]~input_o ));
1439
// synopsys translate_off
1440
defparam \wb_dat_i[9]~input .bus_hold = "false";
1441
defparam \wb_dat_i[9]~input .simulate_z_as = "z";
1442
// synopsys translate_on
1443
 
1444
// Location: FF_X27_Y4_N7
1445
dffeas \wb_interface|p2p[9] (
1446
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1447
        .d(gnd),
1448
        .asdata(\wb_dat_i[9]~input_o ),
1449
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1450
        .aload(gnd),
1451
        .sclr(gnd),
1452
        .sload(vcc),
1453
        .ena(\wb_interface|always4~0_combout ),
1454
        .devclrn(devclrn),
1455
        .devpor(devpor),
1456
        .q(\wb_interface|p2p [9]),
1457
        .prn(vcc));
1458
// synopsys translate_off
1459
defparam \wb_interface|p2p[9] .is_wysiwyg = "true";
1460
defparam \wb_interface|p2p[9] .power_up = "low";
1461
// synopsys translate_on
1462
 
1463
// Location: IOIBUF_X43_Y0_N1
1464
cycloneiv_io_ibuf \wb_dat_i[8]~input (
1465
        .i(wb_dat_i[8]),
1466
        .ibar(gnd),
1467
        .o(\wb_dat_i[8]~input_o ));
1468
// synopsys translate_off
1469
defparam \wb_dat_i[8]~input .bus_hold = "false";
1470
defparam \wb_dat_i[8]~input .simulate_z_as = "z";
1471
// synopsys translate_on
1472
 
1473
// Location: FF_X27_Y4_N5
1474
dffeas \wb_interface|p2p[8] (
1475
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1476
        .d(gnd),
1477
        .asdata(\wb_dat_i[8]~input_o ),
1478
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1479
        .aload(gnd),
1480
        .sclr(gnd),
1481
        .sload(vcc),
1482
        .ena(\wb_interface|always4~0_combout ),
1483
        .devclrn(devclrn),
1484
        .devpor(devpor),
1485
        .q(\wb_interface|p2p [8]),
1486
        .prn(vcc));
1487
// synopsys translate_off
1488
defparam \wb_interface|p2p[8] .is_wysiwyg = "true";
1489
defparam \wb_interface|p2p[8] .power_up = "low";
1490
// synopsys translate_on
1491
 
1492
// Location: IOIBUF_X31_Y0_N15
1493
cycloneiv_io_ibuf \wb_dat_i[7]~input (
1494
        .i(wb_dat_i[7]),
1495
        .ibar(gnd),
1496
        .o(\wb_dat_i[7]~input_o ));
1497
// synopsys translate_off
1498
defparam \wb_dat_i[7]~input .bus_hold = "false";
1499
defparam \wb_dat_i[7]~input .simulate_z_as = "z";
1500
// synopsys translate_on
1501
 
1502
// Location: FF_X27_Y4_N1
1503
dffeas \wb_interface|p2p[7] (
1504
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1505
        .d(gnd),
1506
        .asdata(\wb_dat_i[7]~input_o ),
1507
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1508
        .aload(gnd),
1509
        .sclr(gnd),
1510
        .sload(vcc),
1511
        .ena(\wb_interface|always4~0_combout ),
1512
        .devclrn(devclrn),
1513
        .devpor(devpor),
1514
        .q(\wb_interface|p2p [7]),
1515
        .prn(vcc));
1516
// synopsys translate_off
1517
defparam \wb_interface|p2p[7] .is_wysiwyg = "true";
1518
defparam \wb_interface|p2p[7] .power_up = "low";
1519
// synopsys translate_on
1520
 
1521
// Location: IOIBUF_X29_Y41_N8
1522
cycloneiv_io_ibuf \wb_dat_i[6]~input (
1523
        .i(wb_dat_i[6]),
1524
        .ibar(gnd),
1525
        .o(\wb_dat_i[6]~input_o ));
1526
// synopsys translate_off
1527
defparam \wb_dat_i[6]~input .bus_hold = "false";
1528
defparam \wb_dat_i[6]~input .simulate_z_as = "z";
1529
// synopsys translate_on
1530
 
1531
// Location: FF_X27_Y4_N25
1532
dffeas \wb_interface|p2p[6] (
1533
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1534
        .d(gnd),
1535
        .asdata(\wb_dat_i[6]~input_o ),
1536
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1537
        .aload(gnd),
1538
        .sclr(gnd),
1539
        .sload(vcc),
1540
        .ena(\wb_interface|always4~0_combout ),
1541
        .devclrn(devclrn),
1542
        .devpor(devpor),
1543
        .q(\wb_interface|p2p [6]),
1544
        .prn(vcc));
1545
// synopsys translate_off
1546
defparam \wb_interface|p2p[6] .is_wysiwyg = "true";
1547
defparam \wb_interface|p2p[6] .power_up = "low";
1548
// synopsys translate_on
1549
 
1550
// Location: LCCOMB_X27_Y4_N0
1551
cycloneiv_lcell_comb \Equal1~10 (
1552
// Equation(s):
1553
// \Equal1~10_combout  = (!\wb_interface|p2p [9] & (!\wb_interface|p2p [8] & (!\wb_interface|p2p [7] & !\wb_interface|p2p [6])))
1554
 
1555
        .dataa(\wb_interface|p2p [9]),
1556
        .datab(\wb_interface|p2p [8]),
1557
        .datac(\wb_interface|p2p [7]),
1558
        .datad(\wb_interface|p2p [6]),
1559
        .cin(gnd),
1560
        .combout(\Equal1~10_combout ),
1561
        .cout());
1562
// synopsys translate_off
1563
defparam \Equal1~10 .lut_mask = 16'h0001;
1564
defparam \Equal1~10 .sum_lutc_input = "datac";
1565
// synopsys translate_on
1566
 
1567
// Location: LCCOMB_X27_Y4_N12
1568
cycloneiv_lcell_comb \Equal1~11 (
1569
// Equation(s):
1570
// \Equal1~11_combout  = (!\wb_interface|p2p [5] & \Equal1~10_combout )
1571
 
1572
        .dataa(gnd),
1573
        .datab(gnd),
1574
        .datac(\wb_interface|p2p [5]),
1575
        .datad(\Equal1~10_combout ),
1576
        .cin(gnd),
1577
        .combout(\Equal1~11_combout ),
1578
        .cout());
1579
// synopsys translate_off
1580
defparam \Equal1~11 .lut_mask = 16'h0F00;
1581
defparam \Equal1~11 .sum_lutc_input = "datac";
1582
// synopsys translate_on
1583
 
1584
// Location: IOIBUF_X52_Y18_N1
1585
cycloneiv_io_ibuf \wb_dat_i[20]~input (
1586
        .i(wb_dat_i[20]),
1587
        .ibar(gnd),
1588
        .o(\wb_dat_i[20]~input_o ));
1589
// synopsys translate_off
1590
defparam \wb_dat_i[20]~input .bus_hold = "false";
1591
defparam \wb_dat_i[20]~input .simulate_z_as = "z";
1592
// synopsys translate_on
1593
 
1594
// Location: FF_X25_Y3_N23
1595
dffeas \wb_interface|p2p[20] (
1596
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1597
        .d(gnd),
1598
        .asdata(\wb_dat_i[20]~input_o ),
1599
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1600
        .aload(gnd),
1601
        .sclr(gnd),
1602
        .sload(vcc),
1603
        .ena(\wb_interface|always4~0_combout ),
1604
        .devclrn(devclrn),
1605
        .devpor(devpor),
1606
        .q(\wb_interface|p2p [20]),
1607
        .prn(vcc));
1608
// synopsys translate_off
1609
defparam \wb_interface|p2p[20] .is_wysiwyg = "true";
1610
defparam \wb_interface|p2p[20] .power_up = "low";
1611
// synopsys translate_on
1612
 
1613
// Location: IOIBUF_X50_Y0_N8
1614
cycloneiv_io_ibuf \wb_dat_i[18]~input (
1615
        .i(wb_dat_i[18]),
1616
        .ibar(gnd),
1617
        .o(\wb_dat_i[18]~input_o ));
1618
// synopsys translate_off
1619
defparam \wb_dat_i[18]~input .bus_hold = "false";
1620
defparam \wb_dat_i[18]~input .simulate_z_as = "z";
1621
// synopsys translate_on
1622
 
1623
// Location: FF_X25_Y3_N19
1624
dffeas \wb_interface|p2p[18] (
1625
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1626
        .d(gnd),
1627
        .asdata(\wb_dat_i[18]~input_o ),
1628
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1629
        .aload(gnd),
1630
        .sclr(gnd),
1631
        .sload(vcc),
1632
        .ena(\wb_interface|always4~0_combout ),
1633
        .devclrn(devclrn),
1634
        .devpor(devpor),
1635
        .q(\wb_interface|p2p [18]),
1636
        .prn(vcc));
1637
// synopsys translate_off
1638
defparam \wb_interface|p2p[18] .is_wysiwyg = "true";
1639
defparam \wb_interface|p2p[18] .power_up = "low";
1640
// synopsys translate_on
1641
 
1642
// Location: IOIBUF_X52_Y11_N8
1643
cycloneiv_io_ibuf \wb_dat_i[19]~input (
1644
        .i(wb_dat_i[19]),
1645
        .ibar(gnd),
1646
        .o(\wb_dat_i[19]~input_o ));
1647
// synopsys translate_off
1648
defparam \wb_dat_i[19]~input .bus_hold = "false";
1649
defparam \wb_dat_i[19]~input .simulate_z_as = "z";
1650
// synopsys translate_on
1651
 
1652
// Location: FF_X25_Y3_N27
1653
dffeas \wb_interface|p2p[19] (
1654
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1655
        .d(gnd),
1656
        .asdata(\wb_dat_i[19]~input_o ),
1657
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1658
        .aload(gnd),
1659
        .sclr(gnd),
1660
        .sload(vcc),
1661
        .ena(\wb_interface|always4~0_combout ),
1662
        .devclrn(devclrn),
1663
        .devpor(devpor),
1664
        .q(\wb_interface|p2p [19]),
1665
        .prn(vcc));
1666
// synopsys translate_off
1667
defparam \wb_interface|p2p[19] .is_wysiwyg = "true";
1668
defparam \wb_interface|p2p[19] .power_up = "low";
1669
// synopsys translate_on
1670
 
1671
// Location: IOIBUF_X52_Y13_N8
1672
cycloneiv_io_ibuf \wb_dat_i[21]~input (
1673
        .i(wb_dat_i[21]),
1674
        .ibar(gnd),
1675
        .o(\wb_dat_i[21]~input_o ));
1676
// synopsys translate_off
1677
defparam \wb_dat_i[21]~input .bus_hold = "false";
1678
defparam \wb_dat_i[21]~input .simulate_z_as = "z";
1679
// synopsys translate_on
1680
 
1681
// Location: FF_X25_Y3_N3
1682
dffeas \wb_interface|p2p[21] (
1683
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1684
        .d(gnd),
1685
        .asdata(\wb_dat_i[21]~input_o ),
1686
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1687
        .aload(gnd),
1688
        .sclr(gnd),
1689
        .sload(vcc),
1690
        .ena(\wb_interface|always4~0_combout ),
1691
        .devclrn(devclrn),
1692
        .devpor(devpor),
1693
        .q(\wb_interface|p2p [21]),
1694
        .prn(vcc));
1695
// synopsys translate_off
1696
defparam \wb_interface|p2p[21] .is_wysiwyg = "true";
1697
defparam \wb_interface|p2p[21] .power_up = "low";
1698
// synopsys translate_on
1699
 
1700
// Location: LCCOMB_X25_Y3_N26
1701
cycloneiv_lcell_comb \Equal1~6 (
1702
// Equation(s):
1703
// \Equal1~6_combout  = (!\wb_interface|p2p [20] & (!\wb_interface|p2p [18] & (!\wb_interface|p2p [19] & !\wb_interface|p2p [21])))
1704
 
1705
        .dataa(\wb_interface|p2p [20]),
1706
        .datab(\wb_interface|p2p [18]),
1707
        .datac(\wb_interface|p2p [19]),
1708
        .datad(\wb_interface|p2p [21]),
1709
        .cin(gnd),
1710
        .combout(\Equal1~6_combout ),
1711
        .cout());
1712
// synopsys translate_off
1713
defparam \Equal1~6 .lut_mask = 16'h0001;
1714
defparam \Equal1~6 .sum_lutc_input = "datac";
1715
// synopsys translate_on
1716
 
1717
// Location: IOIBUF_X7_Y0_N22
1718
cycloneiv_io_ibuf \wb_dat_i[16]~input (
1719
        .i(wb_dat_i[16]),
1720
        .ibar(gnd),
1721
        .o(\wb_dat_i[16]~input_o ));
1722
// synopsys translate_off
1723
defparam \wb_dat_i[16]~input .bus_hold = "false";
1724
defparam \wb_dat_i[16]~input .simulate_z_as = "z";
1725
// synopsys translate_on
1726
 
1727
// Location: FF_X27_Y3_N31
1728
dffeas \wb_interface|p2p[16] (
1729
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1730
        .d(gnd),
1731
        .asdata(\wb_dat_i[16]~input_o ),
1732
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1733
        .aload(gnd),
1734
        .sclr(gnd),
1735
        .sload(vcc),
1736
        .ena(\wb_interface|always4~0_combout ),
1737
        .devclrn(devclrn),
1738
        .devpor(devpor),
1739
        .q(\wb_interface|p2p [16]),
1740
        .prn(vcc));
1741
// synopsys translate_off
1742
defparam \wb_interface|p2p[16] .is_wysiwyg = "true";
1743
defparam \wb_interface|p2p[16] .power_up = "low";
1744
// synopsys translate_on
1745
 
1746
// Location: IOIBUF_X52_Y9_N8
1747
cycloneiv_io_ibuf \wb_dat_i[17]~input (
1748
        .i(wb_dat_i[17]),
1749
        .ibar(gnd),
1750
        .o(\wb_dat_i[17]~input_o ));
1751
// synopsys translate_off
1752
defparam \wb_dat_i[17]~input .bus_hold = "false";
1753
defparam \wb_dat_i[17]~input .simulate_z_as = "z";
1754
// synopsys translate_on
1755
 
1756
// Location: FF_X27_Y3_N3
1757
dffeas \wb_interface|p2p[17] (
1758
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1759
        .d(gnd),
1760
        .asdata(\wb_dat_i[17]~input_o ),
1761
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1762
        .aload(gnd),
1763
        .sclr(gnd),
1764
        .sload(vcc),
1765
        .ena(\wb_interface|always4~0_combout ),
1766
        .devclrn(devclrn),
1767
        .devpor(devpor),
1768
        .q(\wb_interface|p2p [17]),
1769
        .prn(vcc));
1770
// synopsys translate_off
1771
defparam \wb_interface|p2p[17] .is_wysiwyg = "true";
1772
defparam \wb_interface|p2p[17] .power_up = "low";
1773
// synopsys translate_on
1774
 
1775
// Location: IOIBUF_X46_Y0_N8
1776
cycloneiv_io_ibuf \wb_dat_i[15]~input (
1777
        .i(wb_dat_i[15]),
1778
        .ibar(gnd),
1779
        .o(\wb_dat_i[15]~input_o ));
1780
// synopsys translate_off
1781
defparam \wb_dat_i[15]~input .bus_hold = "false";
1782
defparam \wb_dat_i[15]~input .simulate_z_as = "z";
1783
// synopsys translate_on
1784
 
1785
// Location: FF_X27_Y3_N15
1786
dffeas \wb_interface|p2p[15] (
1787
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1788
        .d(gnd),
1789
        .asdata(\wb_dat_i[15]~input_o ),
1790
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1791
        .aload(gnd),
1792
        .sclr(gnd),
1793
        .sload(vcc),
1794
        .ena(\wb_interface|always4~0_combout ),
1795
        .devclrn(devclrn),
1796
        .devpor(devpor),
1797
        .q(\wb_interface|p2p [15]),
1798
        .prn(vcc));
1799
// synopsys translate_off
1800
defparam \wb_interface|p2p[15] .is_wysiwyg = "true";
1801
defparam \wb_interface|p2p[15] .power_up = "low";
1802
// synopsys translate_on
1803
 
1804
// Location: IOIBUF_X52_Y13_N1
1805
cycloneiv_io_ibuf \wb_dat_i[14]~input (
1806
        .i(wb_dat_i[14]),
1807
        .ibar(gnd),
1808
        .o(\wb_dat_i[14]~input_o ));
1809
// synopsys translate_off
1810
defparam \wb_dat_i[14]~input .bus_hold = "false";
1811
defparam \wb_dat_i[14]~input .simulate_z_as = "z";
1812
// synopsys translate_on
1813
 
1814
// Location: FF_X27_Y3_N11
1815
dffeas \wb_interface|p2p[14] (
1816
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1817
        .d(gnd),
1818
        .asdata(\wb_dat_i[14]~input_o ),
1819
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1820
        .aload(gnd),
1821
        .sclr(gnd),
1822
        .sload(vcc),
1823
        .ena(\wb_interface|always4~0_combout ),
1824
        .devclrn(devclrn),
1825
        .devpor(devpor),
1826
        .q(\wb_interface|p2p [14]),
1827
        .prn(vcc));
1828
// synopsys translate_off
1829
defparam \wb_interface|p2p[14] .is_wysiwyg = "true";
1830
defparam \wb_interface|p2p[14] .power_up = "low";
1831
// synopsys translate_on
1832
 
1833
// Location: LCCOMB_X27_Y3_N14
1834
cycloneiv_lcell_comb \Equal1~7 (
1835
// Equation(s):
1836
// \Equal1~7_combout  = (!\wb_interface|p2p [16] & (!\wb_interface|p2p [17] & (!\wb_interface|p2p [15] & !\wb_interface|p2p [14])))
1837
 
1838
        .dataa(\wb_interface|p2p [16]),
1839
        .datab(\wb_interface|p2p [17]),
1840
        .datac(\wb_interface|p2p [15]),
1841
        .datad(\wb_interface|p2p [14]),
1842
        .cin(gnd),
1843
        .combout(\Equal1~7_combout ),
1844
        .cout());
1845
// synopsys translate_off
1846
defparam \Equal1~7 .lut_mask = 16'h0001;
1847
defparam \Equal1~7 .sum_lutc_input = "datac";
1848
// synopsys translate_on
1849
 
1850
// Location: IOIBUF_X52_Y12_N8
1851
cycloneiv_io_ibuf \wb_dat_i[13]~input (
1852
        .i(wb_dat_i[13]),
1853
        .ibar(gnd),
1854
        .o(\wb_dat_i[13]~input_o ));
1855
// synopsys translate_off
1856
defparam \wb_dat_i[13]~input .bus_hold = "false";
1857
defparam \wb_dat_i[13]~input .simulate_z_as = "z";
1858
// synopsys translate_on
1859
 
1860
// Location: LCCOMB_X27_Y3_N26
1861
cycloneiv_lcell_comb \wb_interface|p2p[13]~feeder (
1862
// Equation(s):
1863
// \wb_interface|p2p[13]~feeder_combout  = \wb_dat_i[13]~input_o
1864
 
1865
        .dataa(gnd),
1866
        .datab(gnd),
1867
        .datac(gnd),
1868
        .datad(\wb_dat_i[13]~input_o ),
1869
        .cin(gnd),
1870
        .combout(\wb_interface|p2p[13]~feeder_combout ),
1871
        .cout());
1872
// synopsys translate_off
1873
defparam \wb_interface|p2p[13]~feeder .lut_mask = 16'hFF00;
1874
defparam \wb_interface|p2p[13]~feeder .sum_lutc_input = "datac";
1875
// synopsys translate_on
1876
 
1877
// Location: FF_X27_Y3_N27
1878
dffeas \wb_interface|p2p[13] (
1879
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1880
        .d(\wb_interface|p2p[13]~feeder_combout ),
1881
        .asdata(vcc),
1882
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1883
        .aload(gnd),
1884
        .sclr(gnd),
1885
        .sload(gnd),
1886
        .ena(\wb_interface|always4~0_combout ),
1887
        .devclrn(devclrn),
1888
        .devpor(devpor),
1889
        .q(\wb_interface|p2p [13]),
1890
        .prn(vcc));
1891
// synopsys translate_off
1892
defparam \wb_interface|p2p[13] .is_wysiwyg = "true";
1893
defparam \wb_interface|p2p[13] .power_up = "low";
1894
// synopsys translate_on
1895
 
1896
// Location: IOIBUF_X52_Y11_N1
1897
cycloneiv_io_ibuf \wb_dat_i[10]~input (
1898
        .i(wb_dat_i[10]),
1899
        .ibar(gnd),
1900
        .o(\wb_dat_i[10]~input_o ));
1901
// synopsys translate_off
1902
defparam \wb_dat_i[10]~input .bus_hold = "false";
1903
defparam \wb_dat_i[10]~input .simulate_z_as = "z";
1904
// synopsys translate_on
1905
 
1906
// Location: FF_X27_Y3_N29
1907
dffeas \wb_interface|p2p[10] (
1908
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1909
        .d(gnd),
1910
        .asdata(\wb_dat_i[10]~input_o ),
1911
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1912
        .aload(gnd),
1913
        .sclr(gnd),
1914
        .sload(vcc),
1915
        .ena(\wb_interface|always4~0_combout ),
1916
        .devclrn(devclrn),
1917
        .devpor(devpor),
1918
        .q(\wb_interface|p2p [10]),
1919
        .prn(vcc));
1920
// synopsys translate_off
1921
defparam \wb_interface|p2p[10] .is_wysiwyg = "true";
1922
defparam \wb_interface|p2p[10] .power_up = "low";
1923
// synopsys translate_on
1924
 
1925
// Location: IOIBUF_X52_Y9_N1
1926
cycloneiv_io_ibuf \wb_dat_i[11]~input (
1927
        .i(wb_dat_i[11]),
1928
        .ibar(gnd),
1929
        .o(\wb_dat_i[11]~input_o ));
1930
// synopsys translate_off
1931
defparam \wb_dat_i[11]~input .bus_hold = "false";
1932
defparam \wb_dat_i[11]~input .simulate_z_as = "z";
1933
// synopsys translate_on
1934
 
1935
// Location: FF_X27_Y3_N9
1936
dffeas \wb_interface|p2p[11] (
1937
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1938
        .d(gnd),
1939
        .asdata(\wb_dat_i[11]~input_o ),
1940
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1941
        .aload(gnd),
1942
        .sclr(gnd),
1943
        .sload(vcc),
1944
        .ena(\wb_interface|always4~0_combout ),
1945
        .devclrn(devclrn),
1946
        .devpor(devpor),
1947
        .q(\wb_interface|p2p [11]),
1948
        .prn(vcc));
1949
// synopsys translate_off
1950
defparam \wb_interface|p2p[11] .is_wysiwyg = "true";
1951
defparam \wb_interface|p2p[11] .power_up = "low";
1952
// synopsys translate_on
1953
 
1954
// Location: IOIBUF_X48_Y0_N8
1955
cycloneiv_io_ibuf \wb_dat_i[12]~input (
1956
        .i(wb_dat_i[12]),
1957
        .ibar(gnd),
1958
        .o(\wb_dat_i[12]~input_o ));
1959
// synopsys translate_off
1960
defparam \wb_dat_i[12]~input .bus_hold = "false";
1961
defparam \wb_dat_i[12]~input .simulate_z_as = "z";
1962
// synopsys translate_on
1963
 
1964
// Location: FF_X25_Y3_N17
1965
dffeas \wb_interface|p2p[12] (
1966
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
1967
        .d(gnd),
1968
        .asdata(\wb_dat_i[12]~input_o ),
1969
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
1970
        .aload(gnd),
1971
        .sclr(gnd),
1972
        .sload(vcc),
1973
        .ena(\wb_interface|always4~0_combout ),
1974
        .devclrn(devclrn),
1975
        .devpor(devpor),
1976
        .q(\wb_interface|p2p [12]),
1977
        .prn(vcc));
1978
// synopsys translate_off
1979
defparam \wb_interface|p2p[12] .is_wysiwyg = "true";
1980
defparam \wb_interface|p2p[12] .power_up = "low";
1981
// synopsys translate_on
1982
 
1983
// Location: LCCOMB_X27_Y3_N8
1984
cycloneiv_lcell_comb \Equal1~8 (
1985
// Equation(s):
1986
// \Equal1~8_combout  = (!\wb_interface|p2p [13] & (!\wb_interface|p2p [10] & (!\wb_interface|p2p [11] & !\wb_interface|p2p [12])))
1987
 
1988
        .dataa(\wb_interface|p2p [13]),
1989
        .datab(\wb_interface|p2p [10]),
1990
        .datac(\wb_interface|p2p [11]),
1991
        .datad(\wb_interface|p2p [12]),
1992
        .cin(gnd),
1993
        .combout(\Equal1~8_combout ),
1994
        .cout());
1995
// synopsys translate_off
1996
defparam \Equal1~8 .lut_mask = 16'h0001;
1997
defparam \Equal1~8 .sum_lutc_input = "datac";
1998
// synopsys translate_on
1999
 
2000
// Location: IOIBUF_X7_Y0_N8
2001
cycloneiv_io_ibuf \wb_dat_i[24]~input (
2002
        .i(wb_dat_i[24]),
2003
        .ibar(gnd),
2004
        .o(\wb_dat_i[24]~input_o ));
2005
// synopsys translate_off
2006
defparam \wb_dat_i[24]~input .bus_hold = "false";
2007
defparam \wb_dat_i[24]~input .simulate_z_as = "z";
2008
// synopsys translate_on
2009
 
2010
// Location: FF_X24_Y3_N23
2011
dffeas \wb_interface|p2p[24] (
2012
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2013
        .d(gnd),
2014
        .asdata(\wb_dat_i[24]~input_o ),
2015
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2016
        .aload(gnd),
2017
        .sclr(gnd),
2018
        .sload(vcc),
2019
        .ena(\wb_interface|always4~0_combout ),
2020
        .devclrn(devclrn),
2021
        .devpor(devpor),
2022
        .q(\wb_interface|p2p [24]),
2023
        .prn(vcc));
2024
// synopsys translate_off
2025
defparam \wb_interface|p2p[24] .is_wysiwyg = "true";
2026
defparam \wb_interface|p2p[24] .power_up = "low";
2027
// synopsys translate_on
2028
 
2029
// Location: IOIBUF_X25_Y0_N8
2030
cycloneiv_io_ibuf \wb_dat_i[22]~input (
2031
        .i(wb_dat_i[22]),
2032
        .ibar(gnd),
2033
        .o(\wb_dat_i[22]~input_o ));
2034
// synopsys translate_off
2035
defparam \wb_dat_i[22]~input .bus_hold = "false";
2036
defparam \wb_dat_i[22]~input .simulate_z_as = "z";
2037
// synopsys translate_on
2038
 
2039
// Location: LCCOMB_X24_Y3_N28
2040
cycloneiv_lcell_comb \wb_interface|p2p[22]~feeder (
2041
// Equation(s):
2042
// \wb_interface|p2p[22]~feeder_combout  = \wb_dat_i[22]~input_o
2043
 
2044
        .dataa(gnd),
2045
        .datab(gnd),
2046
        .datac(gnd),
2047
        .datad(\wb_dat_i[22]~input_o ),
2048
        .cin(gnd),
2049
        .combout(\wb_interface|p2p[22]~feeder_combout ),
2050
        .cout());
2051
// synopsys translate_off
2052
defparam \wb_interface|p2p[22]~feeder .lut_mask = 16'hFF00;
2053
defparam \wb_interface|p2p[22]~feeder .sum_lutc_input = "datac";
2054
// synopsys translate_on
2055
 
2056
// Location: FF_X24_Y3_N29
2057
dffeas \wb_interface|p2p[22] (
2058
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2059
        .d(\wb_interface|p2p[22]~feeder_combout ),
2060
        .asdata(vcc),
2061
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2062
        .aload(gnd),
2063
        .sclr(gnd),
2064
        .sload(gnd),
2065
        .ena(\wb_interface|always4~0_combout ),
2066
        .devclrn(devclrn),
2067
        .devpor(devpor),
2068
        .q(\wb_interface|p2p [22]),
2069
        .prn(vcc));
2070
// synopsys translate_off
2071
defparam \wb_interface|p2p[22] .is_wysiwyg = "true";
2072
defparam \wb_interface|p2p[22] .power_up = "low";
2073
// synopsys translate_on
2074
 
2075
// Location: IOIBUF_X21_Y0_N1
2076
cycloneiv_io_ibuf \wb_dat_i[23]~input (
2077
        .i(wb_dat_i[23]),
2078
        .ibar(gnd),
2079
        .o(\wb_dat_i[23]~input_o ));
2080
// synopsys translate_off
2081
defparam \wb_dat_i[23]~input .bus_hold = "false";
2082
defparam \wb_dat_i[23]~input .simulate_z_as = "z";
2083
// synopsys translate_on
2084
 
2085
// Location: LCCOMB_X24_Y3_N8
2086
cycloneiv_lcell_comb \wb_interface|p2p[23]~feeder (
2087
// Equation(s):
2088
// \wb_interface|p2p[23]~feeder_combout  = \wb_dat_i[23]~input_o
2089
 
2090
        .dataa(gnd),
2091
        .datab(gnd),
2092
        .datac(gnd),
2093
        .datad(\wb_dat_i[23]~input_o ),
2094
        .cin(gnd),
2095
        .combout(\wb_interface|p2p[23]~feeder_combout ),
2096
        .cout());
2097
// synopsys translate_off
2098
defparam \wb_interface|p2p[23]~feeder .lut_mask = 16'hFF00;
2099
defparam \wb_interface|p2p[23]~feeder .sum_lutc_input = "datac";
2100
// synopsys translate_on
2101
 
2102
// Location: FF_X24_Y3_N9
2103
dffeas \wb_interface|p2p[23] (
2104
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2105
        .d(\wb_interface|p2p[23]~feeder_combout ),
2106
        .asdata(vcc),
2107
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2108
        .aload(gnd),
2109
        .sclr(gnd),
2110
        .sload(gnd),
2111
        .ena(\wb_interface|always4~0_combout ),
2112
        .devclrn(devclrn),
2113
        .devpor(devpor),
2114
        .q(\wb_interface|p2p [23]),
2115
        .prn(vcc));
2116
// synopsys translate_off
2117
defparam \wb_interface|p2p[23] .is_wysiwyg = "true";
2118
defparam \wb_interface|p2p[23] .power_up = "low";
2119
// synopsys translate_on
2120
 
2121
// Location: IOIBUF_X7_Y0_N15
2122
cycloneiv_io_ibuf \wb_dat_i[25]~input (
2123
        .i(wb_dat_i[25]),
2124
        .ibar(gnd),
2125
        .o(\wb_dat_i[25]~input_o ));
2126
// synopsys translate_off
2127
defparam \wb_dat_i[25]~input .bus_hold = "false";
2128
defparam \wb_dat_i[25]~input .simulate_z_as = "z";
2129
// synopsys translate_on
2130
 
2131
// Location: LCCOMB_X24_Y3_N12
2132
cycloneiv_lcell_comb \wb_interface|p2p[25]~feeder (
2133
// Equation(s):
2134
// \wb_interface|p2p[25]~feeder_combout  = \wb_dat_i[25]~input_o
2135
 
2136
        .dataa(gnd),
2137
        .datab(gnd),
2138
        .datac(gnd),
2139
        .datad(\wb_dat_i[25]~input_o ),
2140
        .cin(gnd),
2141
        .combout(\wb_interface|p2p[25]~feeder_combout ),
2142
        .cout());
2143
// synopsys translate_off
2144
defparam \wb_interface|p2p[25]~feeder .lut_mask = 16'hFF00;
2145
defparam \wb_interface|p2p[25]~feeder .sum_lutc_input = "datac";
2146
// synopsys translate_on
2147
 
2148
// Location: FF_X24_Y3_N13
2149
dffeas \wb_interface|p2p[25] (
2150
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2151
        .d(\wb_interface|p2p[25]~feeder_combout ),
2152
        .asdata(vcc),
2153
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2154
        .aload(gnd),
2155
        .sclr(gnd),
2156
        .sload(gnd),
2157
        .ena(\wb_interface|always4~0_combout ),
2158
        .devclrn(devclrn),
2159
        .devpor(devpor),
2160
        .q(\wb_interface|p2p [25]),
2161
        .prn(vcc));
2162
// synopsys translate_off
2163
defparam \wb_interface|p2p[25] .is_wysiwyg = "true";
2164
defparam \wb_interface|p2p[25] .power_up = "low";
2165
// synopsys translate_on
2166
 
2167
// Location: LCCOMB_X24_Y3_N10
2168
cycloneiv_lcell_comb \Equal1~5 (
2169
// Equation(s):
2170
// \Equal1~5_combout  = (!\wb_interface|p2p [24] & (!\wb_interface|p2p [22] & (!\wb_interface|p2p [23] & !\wb_interface|p2p [25])))
2171
 
2172
        .dataa(\wb_interface|p2p [24]),
2173
        .datab(\wb_interface|p2p [22]),
2174
        .datac(\wb_interface|p2p [23]),
2175
        .datad(\wb_interface|p2p [25]),
2176
        .cin(gnd),
2177
        .combout(\Equal1~5_combout ),
2178
        .cout());
2179
// synopsys translate_off
2180
defparam \Equal1~5 .lut_mask = 16'h0001;
2181
defparam \Equal1~5 .sum_lutc_input = "datac";
2182
// synopsys translate_on
2183
 
2184
// Location: LCCOMB_X27_Y3_N6
2185
cycloneiv_lcell_comb \Equal1~9 (
2186
// Equation(s):
2187
// \Equal1~9_combout  = (\Equal1~6_combout  & (\Equal1~7_combout  & (\Equal1~8_combout  & \Equal1~5_combout )))
2188
 
2189
        .dataa(\Equal1~6_combout ),
2190
        .datab(\Equal1~7_combout ),
2191
        .datac(\Equal1~8_combout ),
2192
        .datad(\Equal1~5_combout ),
2193
        .cin(gnd),
2194
        .combout(\Equal1~9_combout ),
2195
        .cout());
2196
// synopsys translate_off
2197
defparam \Equal1~9 .lut_mask = 16'h8000;
2198
defparam \Equal1~9 .sum_lutc_input = "datac";
2199
// synopsys translate_on
2200
 
2201
// Location: IOIBUF_X34_Y0_N1
2202
cycloneiv_io_ibuf \wb_dat_i[28]~input (
2203
        .i(wb_dat_i[28]),
2204
        .ibar(gnd),
2205
        .o(\wb_dat_i[28]~input_o ));
2206
// synopsys translate_off
2207
defparam \wb_dat_i[28]~input .bus_hold = "false";
2208
defparam \wb_dat_i[28]~input .simulate_z_as = "z";
2209
// synopsys translate_on
2210
 
2211
// Location: FF_X29_Y3_N23
2212
dffeas \wb_interface|p2p[28] (
2213
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2214
        .d(gnd),
2215
        .asdata(\wb_dat_i[28]~input_o ),
2216
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2217
        .aload(gnd),
2218
        .sclr(gnd),
2219
        .sload(vcc),
2220
        .ena(\wb_interface|always4~0_combout ),
2221
        .devclrn(devclrn),
2222
        .devpor(devpor),
2223
        .q(\wb_interface|p2p [28]),
2224
        .prn(vcc));
2225
// synopsys translate_off
2226
defparam \wb_interface|p2p[28] .is_wysiwyg = "true";
2227
defparam \wb_interface|p2p[28] .power_up = "low";
2228
// synopsys translate_on
2229
 
2230
// Location: IOIBUF_X41_Y0_N22
2231
cycloneiv_io_ibuf \wb_dat_i[27]~input (
2232
        .i(wb_dat_i[27]),
2233
        .ibar(gnd),
2234
        .o(\wb_dat_i[27]~input_o ));
2235
// synopsys translate_off
2236
defparam \wb_dat_i[27]~input .bus_hold = "false";
2237
defparam \wb_dat_i[27]~input .simulate_z_as = "z";
2238
// synopsys translate_on
2239
 
2240
// Location: FF_X29_Y3_N3
2241
dffeas \wb_interface|p2p[27] (
2242
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2243
        .d(gnd),
2244
        .asdata(\wb_dat_i[27]~input_o ),
2245
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2246
        .aload(gnd),
2247
        .sclr(gnd),
2248
        .sload(vcc),
2249
        .ena(\wb_interface|always4~0_combout ),
2250
        .devclrn(devclrn),
2251
        .devpor(devpor),
2252
        .q(\wb_interface|p2p [27]),
2253
        .prn(vcc));
2254
// synopsys translate_off
2255
defparam \wb_interface|p2p[27] .is_wysiwyg = "true";
2256
defparam \wb_interface|p2p[27] .power_up = "low";
2257
// synopsys translate_on
2258
 
2259
// Location: IOIBUF_X41_Y0_N1
2260
cycloneiv_io_ibuf \wb_dat_i[26]~input (
2261
        .i(wb_dat_i[26]),
2262
        .ibar(gnd),
2263
        .o(\wb_dat_i[26]~input_o ));
2264
// synopsys translate_off
2265
defparam \wb_dat_i[26]~input .bus_hold = "false";
2266
defparam \wb_dat_i[26]~input .simulate_z_as = "z";
2267
// synopsys translate_on
2268
 
2269
// Location: FF_X29_Y3_N15
2270
dffeas \wb_interface|p2p[26] (
2271
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2272
        .d(gnd),
2273
        .asdata(\wb_dat_i[26]~input_o ),
2274
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2275
        .aload(gnd),
2276
        .sclr(gnd),
2277
        .sload(vcc),
2278
        .ena(\wb_interface|always4~0_combout ),
2279
        .devclrn(devclrn),
2280
        .devpor(devpor),
2281
        .q(\wb_interface|p2p [26]),
2282
        .prn(vcc));
2283
// synopsys translate_off
2284
defparam \wb_interface|p2p[26] .is_wysiwyg = "true";
2285
defparam \wb_interface|p2p[26] .power_up = "low";
2286
// synopsys translate_on
2287
 
2288
// Location: IOIBUF_X41_Y0_N15
2289
cycloneiv_io_ibuf \wb_dat_i[29]~input (
2290
        .i(wb_dat_i[29]),
2291
        .ibar(gnd),
2292
        .o(\wb_dat_i[29]~input_o ));
2293
// synopsys translate_off
2294
defparam \wb_dat_i[29]~input .bus_hold = "false";
2295
defparam \wb_dat_i[29]~input .simulate_z_as = "z";
2296
// synopsys translate_on
2297
 
2298
// Location: FF_X29_Y3_N25
2299
dffeas \wb_interface|p2p[29] (
2300
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2301
        .d(gnd),
2302
        .asdata(\wb_dat_i[29]~input_o ),
2303
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2304
        .aload(gnd),
2305
        .sclr(gnd),
2306
        .sload(vcc),
2307
        .ena(\wb_interface|always4~0_combout ),
2308
        .devclrn(devclrn),
2309
        .devpor(devpor),
2310
        .q(\wb_interface|p2p [29]),
2311
        .prn(vcc));
2312
// synopsys translate_off
2313
defparam \wb_interface|p2p[29] .is_wysiwyg = "true";
2314
defparam \wb_interface|p2p[29] .power_up = "low";
2315
// synopsys translate_on
2316
 
2317
// Location: LCCOMB_X29_Y3_N20
2318
cycloneiv_lcell_comb \Equal1~3 (
2319
// Equation(s):
2320
// \Equal1~3_combout  = (!\wb_interface|p2p [28] & (!\wb_interface|p2p [27] & (!\wb_interface|p2p [26] & !\wb_interface|p2p [29])))
2321
 
2322
        .dataa(\wb_interface|p2p [28]),
2323
        .datab(\wb_interface|p2p [27]),
2324
        .datac(\wb_interface|p2p [26]),
2325
        .datad(\wb_interface|p2p [29]),
2326
        .cin(gnd),
2327
        .combout(\Equal1~3_combout ),
2328
        .cout());
2329
// synopsys translate_off
2330
defparam \Equal1~3 .lut_mask = 16'h0001;
2331
defparam \Equal1~3 .sum_lutc_input = "datac";
2332
// synopsys translate_on
2333
 
2334
// Location: IOIBUF_X52_Y16_N8
2335
cycloneiv_io_ibuf \wb_dat_i[31]~input (
2336
        .i(wb_dat_i[31]),
2337
        .ibar(gnd),
2338
        .o(\wb_dat_i[31]~input_o ));
2339
// synopsys translate_off
2340
defparam \wb_dat_i[31]~input .bus_hold = "false";
2341
defparam \wb_dat_i[31]~input .simulate_z_as = "z";
2342
// synopsys translate_on
2343
 
2344
// Location: FF_X27_Y4_N27
2345
dffeas \wb_interface|p2p[31] (
2346
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2347
        .d(gnd),
2348
        .asdata(\wb_dat_i[31]~input_o ),
2349
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2350
        .aload(gnd),
2351
        .sclr(gnd),
2352
        .sload(vcc),
2353
        .ena(\wb_interface|always4~0_combout ),
2354
        .devclrn(devclrn),
2355
        .devpor(devpor),
2356
        .q(\wb_interface|p2p [31]),
2357
        .prn(vcc));
2358
// synopsys translate_off
2359
defparam \wb_interface|p2p[31] .is_wysiwyg = "true";
2360
defparam \wb_interface|p2p[31] .power_up = "low";
2361
// synopsys translate_on
2362
 
2363
// Location: IOIBUF_X10_Y0_N8
2364
cycloneiv_io_ibuf \wb_dat_i[4]~input (
2365
        .i(wb_dat_i[4]),
2366
        .ibar(gnd),
2367
        .o(\wb_dat_i[4]~input_o ));
2368
// synopsys translate_off
2369
defparam \wb_dat_i[4]~input .bus_hold = "false";
2370
defparam \wb_dat_i[4]~input .simulate_z_as = "z";
2371
// synopsys translate_on
2372
 
2373
// Location: FF_X27_Y4_N9
2374
dffeas \wb_interface|p2p[4] (
2375
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2376
        .d(gnd),
2377
        .asdata(\wb_dat_i[4]~input_o ),
2378
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2379
        .aload(gnd),
2380
        .sclr(gnd),
2381
        .sload(vcc),
2382
        .ena(\wb_interface|always4~0_combout ),
2383
        .devclrn(devclrn),
2384
        .devpor(devpor),
2385
        .q(\wb_interface|p2p [4]),
2386
        .prn(vcc));
2387
// synopsys translate_off
2388
defparam \wb_interface|p2p[4] .is_wysiwyg = "true";
2389
defparam \wb_interface|p2p[4] .power_up = "low";
2390
// synopsys translate_on
2391
 
2392
// Location: LCCOMB_X28_Y4_N12
2393
cycloneiv_lcell_comb \p2pCnt[0]~5 (
2394
// Equation(s):
2395
// \p2pCnt[0]~5_combout  = p2pCnt[0] $ (VCC)
2396
// \p2pCnt[0]~6  = CARRY(p2pCnt[0])
2397
 
2398
        .dataa(p2pCnt[0]),
2399
        .datab(gnd),
2400
        .datac(gnd),
2401
        .datad(vcc),
2402
        .cin(gnd),
2403
        .combout(\p2pCnt[0]~5_combout ),
2404
        .cout(\p2pCnt[0]~6 ));
2405
// synopsys translate_off
2406
defparam \p2pCnt[0]~5 .lut_mask = 16'h55AA;
2407
defparam \p2pCnt[0]~5 .sum_lutc_input = "datac";
2408
// synopsys translate_on
2409
 
2410
// Location: FF_X28_Y4_N13
2411
dffeas \p2pCnt[0] (
2412
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2413
        .d(\p2pCnt[0]~5_combout ),
2414
        .asdata(vcc),
2415
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2416
        .aload(gnd),
2417
        .sclr(!\state.101~q ),
2418
        .sload(gnd),
2419
        .ena(vcc),
2420
        .devclrn(devclrn),
2421
        .devpor(devpor),
2422
        .q(p2pCnt[0]),
2423
        .prn(vcc));
2424
// synopsys translate_off
2425
defparam \p2pCnt[0] .is_wysiwyg = "true";
2426
defparam \p2pCnt[0] .power_up = "low";
2427
// synopsys translate_on
2428
 
2429
// Location: LCCOMB_X28_Y4_N14
2430
cycloneiv_lcell_comb \p2pCnt[1]~7 (
2431
// Equation(s):
2432
// \p2pCnt[1]~7_combout  = (p2pCnt[1] & (!\p2pCnt[0]~6 )) # (!p2pCnt[1] & ((\p2pCnt[0]~6 ) # (GND)))
2433
// \p2pCnt[1]~8  = CARRY((!\p2pCnt[0]~6 ) # (!p2pCnt[1]))
2434
 
2435
        .dataa(gnd),
2436
        .datab(p2pCnt[1]),
2437
        .datac(gnd),
2438
        .datad(vcc),
2439
        .cin(\p2pCnt[0]~6 ),
2440
        .combout(\p2pCnt[1]~7_combout ),
2441
        .cout(\p2pCnt[1]~8 ));
2442
// synopsys translate_off
2443
defparam \p2pCnt[1]~7 .lut_mask = 16'h3C3F;
2444
defparam \p2pCnt[1]~7 .sum_lutc_input = "cin";
2445
// synopsys translate_on
2446
 
2447
// Location: FF_X28_Y4_N15
2448
dffeas \p2pCnt[1] (
2449
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2450
        .d(\p2pCnt[1]~7_combout ),
2451
        .asdata(vcc),
2452
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2453
        .aload(gnd),
2454
        .sclr(!\state.101~q ),
2455
        .sload(gnd),
2456
        .ena(vcc),
2457
        .devclrn(devclrn),
2458
        .devpor(devpor),
2459
        .q(p2pCnt[1]),
2460
        .prn(vcc));
2461
// synopsys translate_off
2462
defparam \p2pCnt[1] .is_wysiwyg = "true";
2463
defparam \p2pCnt[1] .power_up = "low";
2464
// synopsys translate_on
2465
 
2466
// Location: LCCOMB_X28_Y4_N16
2467
cycloneiv_lcell_comb \p2pCnt[2]~9 (
2468
// Equation(s):
2469
// \p2pCnt[2]~9_combout  = (p2pCnt[2] & (\p2pCnt[1]~8  $ (GND))) # (!p2pCnt[2] & (!\p2pCnt[1]~8  & VCC))
2470
// \p2pCnt[2]~10  = CARRY((p2pCnt[2] & !\p2pCnt[1]~8 ))
2471
 
2472
        .dataa(gnd),
2473
        .datab(p2pCnt[2]),
2474
        .datac(gnd),
2475
        .datad(vcc),
2476
        .cin(\p2pCnt[1]~8 ),
2477
        .combout(\p2pCnt[2]~9_combout ),
2478
        .cout(\p2pCnt[2]~10 ));
2479
// synopsys translate_off
2480
defparam \p2pCnt[2]~9 .lut_mask = 16'hC30C;
2481
defparam \p2pCnt[2]~9 .sum_lutc_input = "cin";
2482
// synopsys translate_on
2483
 
2484
// Location: FF_X28_Y4_N17
2485
dffeas \p2pCnt[2] (
2486
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2487
        .d(\p2pCnt[2]~9_combout ),
2488
        .asdata(vcc),
2489
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2490
        .aload(gnd),
2491
        .sclr(!\state.101~q ),
2492
        .sload(gnd),
2493
        .ena(vcc),
2494
        .devclrn(devclrn),
2495
        .devpor(devpor),
2496
        .q(p2pCnt[2]),
2497
        .prn(vcc));
2498
// synopsys translate_off
2499
defparam \p2pCnt[2] .is_wysiwyg = "true";
2500
defparam \p2pCnt[2] .power_up = "low";
2501
// synopsys translate_on
2502
 
2503
// Location: LCCOMB_X28_Y4_N18
2504
cycloneiv_lcell_comb \p2pCnt[3]~11 (
2505
// Equation(s):
2506
// \p2pCnt[3]~11_combout  = (p2pCnt[3] & (!\p2pCnt[2]~10 )) # (!p2pCnt[3] & ((\p2pCnt[2]~10 ) # (GND)))
2507
// \p2pCnt[3]~12  = CARRY((!\p2pCnt[2]~10 ) # (!p2pCnt[3]))
2508
 
2509
        .dataa(gnd),
2510
        .datab(p2pCnt[3]),
2511
        .datac(gnd),
2512
        .datad(vcc),
2513
        .cin(\p2pCnt[2]~10 ),
2514
        .combout(\p2pCnt[3]~11_combout ),
2515
        .cout(\p2pCnt[3]~12 ));
2516
// synopsys translate_off
2517
defparam \p2pCnt[3]~11 .lut_mask = 16'h3C3F;
2518
defparam \p2pCnt[3]~11 .sum_lutc_input = "cin";
2519
// synopsys translate_on
2520
 
2521
// Location: FF_X28_Y4_N19
2522
dffeas \p2pCnt[3] (
2523
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2524
        .d(\p2pCnt[3]~11_combout ),
2525
        .asdata(vcc),
2526
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2527
        .aload(gnd),
2528
        .sclr(!\state.101~q ),
2529
        .sload(gnd),
2530
        .ena(vcc),
2531
        .devclrn(devclrn),
2532
        .devpor(devpor),
2533
        .q(p2pCnt[3]),
2534
        .prn(vcc));
2535
// synopsys translate_off
2536
defparam \p2pCnt[3] .is_wysiwyg = "true";
2537
defparam \p2pCnt[3] .power_up = "low";
2538
// synopsys translate_on
2539
 
2540
// Location: LCCOMB_X28_Y4_N20
2541
cycloneiv_lcell_comb \p2pCnt[4]~13 (
2542
// Equation(s):
2543
// \p2pCnt[4]~13_combout  = \p2pCnt[3]~12  $ (!p2pCnt[4])
2544
 
2545
        .dataa(gnd),
2546
        .datab(gnd),
2547
        .datac(gnd),
2548
        .datad(p2pCnt[4]),
2549
        .cin(\p2pCnt[3]~12 ),
2550
        .combout(\p2pCnt[4]~13_combout ),
2551
        .cout());
2552
// synopsys translate_off
2553
defparam \p2pCnt[4]~13 .lut_mask = 16'hF00F;
2554
defparam \p2pCnt[4]~13 .sum_lutc_input = "cin";
2555
// synopsys translate_on
2556
 
2557
// Location: FF_X28_Y4_N21
2558
dffeas \p2pCnt[4] (
2559
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2560
        .d(\p2pCnt[4]~13_combout ),
2561
        .asdata(vcc),
2562
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2563
        .aload(gnd),
2564
        .sclr(!\state.101~q ),
2565
        .sload(gnd),
2566
        .ena(vcc),
2567
        .devclrn(devclrn),
2568
        .devpor(devpor),
2569
        .q(p2pCnt[4]),
2570
        .prn(vcc));
2571
// synopsys translate_off
2572
defparam \p2pCnt[4] .is_wysiwyg = "true";
2573
defparam \p2pCnt[4] .power_up = "low";
2574
// synopsys translate_on
2575
 
2576
// Location: IOIBUF_X7_Y0_N1
2577
cycloneiv_io_ibuf \wb_dat_i[30]~input (
2578
        .i(wb_dat_i[30]),
2579
        .ibar(gnd),
2580
        .o(\wb_dat_i[30]~input_o ));
2581
// synopsys translate_off
2582
defparam \wb_dat_i[30]~input .bus_hold = "false";
2583
defparam \wb_dat_i[30]~input .simulate_z_as = "z";
2584
// synopsys translate_on
2585
 
2586
// Location: FF_X27_Y4_N23
2587
dffeas \wb_interface|p2p[30] (
2588
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2589
        .d(gnd),
2590
        .asdata(\wb_dat_i[30]~input_o ),
2591
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2592
        .aload(gnd),
2593
        .sclr(gnd),
2594
        .sload(vcc),
2595
        .ena(\wb_interface|always4~0_combout ),
2596
        .devclrn(devclrn),
2597
        .devpor(devpor),
2598
        .q(\wb_interface|p2p [30]),
2599
        .prn(vcc));
2600
// synopsys translate_off
2601
defparam \wb_interface|p2p[30] .is_wysiwyg = "true";
2602
defparam \wb_interface|p2p[30] .power_up = "low";
2603
// synopsys translate_on
2604
 
2605
// Location: LCCOMB_X27_Y4_N18
2606
cycloneiv_lcell_comb \Equal1~2 (
2607
// Equation(s):
2608
// \Equal1~2_combout  = (!\wb_interface|p2p [31] & (!\wb_interface|p2p [30] & (\wb_interface|p2p [4] $ (!p2pCnt[4]))))
2609
 
2610
        .dataa(\wb_interface|p2p [31]),
2611
        .datab(\wb_interface|p2p [4]),
2612
        .datac(p2pCnt[4]),
2613
        .datad(\wb_interface|p2p [30]),
2614
        .cin(gnd),
2615
        .combout(\Equal1~2_combout ),
2616
        .cout());
2617
// synopsys translate_off
2618
defparam \Equal1~2 .lut_mask = 16'h0041;
2619
defparam \Equal1~2 .sum_lutc_input = "datac";
2620
// synopsys translate_on
2621
 
2622
// Location: IOIBUF_X29_Y0_N1
2623
cycloneiv_io_ibuf \wb_dat_i[1]~input (
2624
        .i(wb_dat_i[1]),
2625
        .ibar(gnd),
2626
        .o(\wb_dat_i[1]~input_o ));
2627
// synopsys translate_off
2628
defparam \wb_dat_i[1]~input .bus_hold = "false";
2629
defparam \wb_dat_i[1]~input .simulate_z_as = "z";
2630
// synopsys translate_on
2631
 
2632
// Location: FF_X29_Y3_N27
2633
dffeas \wb_interface|p2p[1] (
2634
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2635
        .d(gnd),
2636
        .asdata(\wb_dat_i[1]~input_o ),
2637
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2638
        .aload(gnd),
2639
        .sclr(gnd),
2640
        .sload(vcc),
2641
        .ena(\wb_interface|always4~0_combout ),
2642
        .devclrn(devclrn),
2643
        .devpor(devpor),
2644
        .q(\wb_interface|p2p [1]),
2645
        .prn(vcc));
2646
// synopsys translate_off
2647
defparam \wb_interface|p2p[1] .is_wysiwyg = "true";
2648
defparam \wb_interface|p2p[1] .power_up = "low";
2649
// synopsys translate_on
2650
 
2651
// Location: FF_X29_Y3_N13
2652
dffeas \wb_interface|p2p[0] (
2653
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2654
        .d(gnd),
2655
        .asdata(\wb_dat_i[0]~input_o ),
2656
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2657
        .aload(gnd),
2658
        .sclr(gnd),
2659
        .sload(vcc),
2660
        .ena(\wb_interface|always4~0_combout ),
2661
        .devclrn(devclrn),
2662
        .devpor(devpor),
2663
        .q(\wb_interface|p2p [0]),
2664
        .prn(vcc));
2665
// synopsys translate_off
2666
defparam \wb_interface|p2p[0] .is_wysiwyg = "true";
2667
defparam \wb_interface|p2p[0] .power_up = "low";
2668
// synopsys translate_on
2669
 
2670
// Location: LCCOMB_X29_Y3_N12
2671
cycloneiv_lcell_comb \Equal1~0 (
2672
// Equation(s):
2673
// \Equal1~0_combout  = (p2pCnt[1] & (\wb_interface|p2p [1] & (\wb_interface|p2p [0] $ (!p2pCnt[0])))) # (!p2pCnt[1] & (!\wb_interface|p2p [1] & (\wb_interface|p2p [0] $ (!p2pCnt[0]))))
2674
 
2675
        .dataa(p2pCnt[1]),
2676
        .datab(\wb_interface|p2p [1]),
2677
        .datac(\wb_interface|p2p [0]),
2678
        .datad(p2pCnt[0]),
2679
        .cin(gnd),
2680
        .combout(\Equal1~0_combout ),
2681
        .cout());
2682
// synopsys translate_off
2683
defparam \Equal1~0 .lut_mask = 16'h9009;
2684
defparam \Equal1~0 .sum_lutc_input = "datac";
2685
// synopsys translate_on
2686
 
2687
// Location: IOIBUF_X31_Y0_N8
2688
cycloneiv_io_ibuf \wb_dat_i[3]~input (
2689
        .i(wb_dat_i[3]),
2690
        .ibar(gnd),
2691
        .o(\wb_dat_i[3]~input_o ));
2692
// synopsys translate_off
2693
defparam \wb_dat_i[3]~input .bus_hold = "false";
2694
defparam \wb_dat_i[3]~input .simulate_z_as = "z";
2695
// synopsys translate_on
2696
 
2697
// Location: FF_X29_Y3_N19
2698
dffeas \wb_interface|p2p[3] (
2699
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2700
        .d(gnd),
2701
        .asdata(\wb_dat_i[3]~input_o ),
2702
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2703
        .aload(gnd),
2704
        .sclr(gnd),
2705
        .sload(vcc),
2706
        .ena(\wb_interface|always4~0_combout ),
2707
        .devclrn(devclrn),
2708
        .devpor(devpor),
2709
        .q(\wb_interface|p2p [3]),
2710
        .prn(vcc));
2711
// synopsys translate_off
2712
defparam \wb_interface|p2p[3] .is_wysiwyg = "true";
2713
defparam \wb_interface|p2p[3] .power_up = "low";
2714
// synopsys translate_on
2715
 
2716
// Location: IOIBUF_X31_Y0_N22
2717
cycloneiv_io_ibuf \wb_dat_i[2]~input (
2718
        .i(wb_dat_i[2]),
2719
        .ibar(gnd),
2720
        .o(\wb_dat_i[2]~input_o ));
2721
// synopsys translate_off
2722
defparam \wb_dat_i[2]~input .bus_hold = "false";
2723
defparam \wb_dat_i[2]~input .simulate_z_as = "z";
2724
// synopsys translate_on
2725
 
2726
// Location: FF_X29_Y3_N5
2727
dffeas \wb_interface|p2p[2] (
2728
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2729
        .d(gnd),
2730
        .asdata(\wb_dat_i[2]~input_o ),
2731
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2732
        .aload(gnd),
2733
        .sclr(gnd),
2734
        .sload(vcc),
2735
        .ena(\wb_interface|always4~0_combout ),
2736
        .devclrn(devclrn),
2737
        .devpor(devpor),
2738
        .q(\wb_interface|p2p [2]),
2739
        .prn(vcc));
2740
// synopsys translate_off
2741
defparam \wb_interface|p2p[2] .is_wysiwyg = "true";
2742
defparam \wb_interface|p2p[2] .power_up = "low";
2743
// synopsys translate_on
2744
 
2745
// Location: LCCOMB_X29_Y3_N4
2746
cycloneiv_lcell_comb \Equal1~1 (
2747
// Equation(s):
2748
// \Equal1~1_combout  = (\wb_interface|p2p [3] & (p2pCnt[3] & (p2pCnt[2] $ (!\wb_interface|p2p [2])))) # (!\wb_interface|p2p [3] & (!p2pCnt[3] & (p2pCnt[2] $ (!\wb_interface|p2p [2]))))
2749
 
2750
        .dataa(\wb_interface|p2p [3]),
2751
        .datab(p2pCnt[2]),
2752
        .datac(\wb_interface|p2p [2]),
2753
        .datad(p2pCnt[3]),
2754
        .cin(gnd),
2755
        .combout(\Equal1~1_combout ),
2756
        .cout());
2757
// synopsys translate_off
2758
defparam \Equal1~1 .lut_mask = 16'h8241;
2759
defparam \Equal1~1 .sum_lutc_input = "datac";
2760
// synopsys translate_on
2761
 
2762
// Location: LCCOMB_X28_Y3_N16
2763
cycloneiv_lcell_comb \Equal1~4 (
2764
// Equation(s):
2765
// \Equal1~4_combout  = (\Equal1~3_combout  & (\Equal1~2_combout  & (\Equal1~0_combout  & \Equal1~1_combout )))
2766
 
2767
        .dataa(\Equal1~3_combout ),
2768
        .datab(\Equal1~2_combout ),
2769
        .datac(\Equal1~0_combout ),
2770
        .datad(\Equal1~1_combout ),
2771
        .cin(gnd),
2772
        .combout(\Equal1~4_combout ),
2773
        .cout());
2774
// synopsys translate_off
2775
defparam \Equal1~4 .lut_mask = 16'h8000;
2776
defparam \Equal1~4 .sum_lutc_input = "datac";
2777
// synopsys translate_on
2778
 
2779
// Location: LCCOMB_X28_Y3_N2
2780
cycloneiv_lcell_comb \Equal1~12 (
2781
// Equation(s):
2782
// \Equal1~12_combout  = (\Equal1~10_combout  & (!\wb_interface|p2p [5] & (\Equal1~9_combout  & \Equal1~4_combout )))
2783
 
2784
        .dataa(\Equal1~10_combout ),
2785
        .datab(\wb_interface|p2p [5]),
2786
        .datac(\Equal1~9_combout ),
2787
        .datad(\Equal1~4_combout ),
2788
        .cin(gnd),
2789
        .combout(\Equal1~12_combout ),
2790
        .cout());
2791
// synopsys translate_off
2792
defparam \Equal1~12 .lut_mask = 16'h2000;
2793
defparam \Equal1~12 .sum_lutc_input = "datac";
2794
// synopsys translate_on
2795
 
2796
// Location: LCCOMB_X28_Y3_N8
2797
cycloneiv_lcell_comb \Selector3~3 (
2798
// Equation(s):
2799
// \Selector3~3_combout  = (\state.110~q ) # ((\state.001~q ) # ((\Selector3~2_combout  & !\Equal1~12_combout )))
2800
 
2801
        .dataa(\state.110~q ),
2802
        .datab(\state.001~q ),
2803
        .datac(\Selector3~2_combout ),
2804
        .datad(\Equal1~12_combout ),
2805
        .cin(gnd),
2806
        .combout(\Selector3~3_combout ),
2807
        .cout());
2808
// synopsys translate_off
2809
defparam \Selector3~3 .lut_mask = 16'hEEFE;
2810
defparam \Selector3~3 .sum_lutc_input = "datac";
2811
// synopsys translate_on
2812
 
2813
// Location: FF_X28_Y3_N9
2814
dffeas \state.101 (
2815
        .clk(\wb_clk_i~inputclkctrl_outclk ),
2816
        .d(\Selector3~3_combout ),
2817
        .asdata(vcc),
2818
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2819
        .aload(gnd),
2820
        .sclr(gnd),
2821
        .sload(gnd),
2822
        .ena(vcc),
2823
        .devclrn(devclrn),
2824
        .devpor(devpor),
2825
        .q(\state.101~q ),
2826
        .prn(vcc));
2827
// synopsys translate_off
2828
defparam \state.101 .is_wysiwyg = "true";
2829
defparam \state.101 .power_up = "low";
2830
// synopsys translate_on
2831
 
2832
// Location: LCCOMB_X30_Y2_N20
2833
cycloneiv_lcell_comb \bitCountReg[1]~10 (
2834
// Equation(s):
2835
// \bitCountReg[1]~10_combout  = (bitCountReg[1] & (!\bitCountReg[0]~9 )) # (!bitCountReg[1] & ((\bitCountReg[0]~9 ) # (GND)))
2836
// \bitCountReg[1]~11  = CARRY((!\bitCountReg[0]~9 ) # (!bitCountReg[1]))
2837
 
2838
        .dataa(gnd),
2839
        .datab(bitCountReg[1]),
2840
        .datac(gnd),
2841
        .datad(vcc),
2842
        .cin(\bitCountReg[0]~9 ),
2843
        .combout(\bitCountReg[1]~10_combout ),
2844
        .cout(\bitCountReg[1]~11 ));
2845
// synopsys translate_off
2846
defparam \bitCountReg[1]~10 .lut_mask = 16'h3C3F;
2847
defparam \bitCountReg[1]~10 .sum_lutc_input = "cin";
2848
// synopsys translate_on
2849
 
2850
// Location: LCCOMB_X30_Y2_N22
2851
cycloneiv_lcell_comb \bitCountReg[2]~12 (
2852
// Equation(s):
2853
// \bitCountReg[2]~12_combout  = (bitCountReg[2] & (\bitCountReg[1]~11  $ (GND))) # (!bitCountReg[2] & (!\bitCountReg[1]~11  & VCC))
2854
// \bitCountReg[2]~13  = CARRY((bitCountReg[2] & !\bitCountReg[1]~11 ))
2855
 
2856
        .dataa(bitCountReg[2]),
2857
        .datab(gnd),
2858
        .datac(gnd),
2859
        .datad(vcc),
2860
        .cin(\bitCountReg[1]~11 ),
2861
        .combout(\bitCountReg[2]~12_combout ),
2862
        .cout(\bitCountReg[2]~13 ));
2863
// synopsys translate_off
2864
defparam \bitCountReg[2]~12 .lut_mask = 16'hA50A;
2865
defparam \bitCountReg[2]~12 .sum_lutc_input = "cin";
2866
// synopsys translate_on
2867
 
2868
// Location: LCCOMB_X30_Y2_N16
2869
cycloneiv_lcell_comb \bitCountReg[3]~7 (
2870
// Equation(s):
2871
// \bitCountReg[3]~7_combout  = \state.001~q  $ (\state.110~q )
2872
 
2873
        .dataa(gnd),
2874
        .datab(gnd),
2875
        .datac(\state.001~q ),
2876
        .datad(\state.110~q ),
2877
        .cin(gnd),
2878
        .combout(\bitCountReg[3]~7_combout ),
2879
        .cout());
2880
// synopsys translate_off
2881
defparam \bitCountReg[3]~7 .lut_mask = 16'h0FF0;
2882
defparam \bitCountReg[3]~7 .sum_lutc_input = "datac";
2883
// synopsys translate_on
2884
 
2885
// Location: FF_X30_Y2_N23
2886
dffeas \bitCountReg[2] (
2887
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2888
        .d(\bitCountReg[2]~12_combout ),
2889
        .asdata(vcc),
2890
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2891
        .aload(gnd),
2892
        .sclr(!\state.110~q ),
2893
        .sload(gnd),
2894
        .ena(\bitCountReg[3]~7_combout ),
2895
        .devclrn(devclrn),
2896
        .devpor(devpor),
2897
        .q(bitCountReg[2]),
2898
        .prn(vcc));
2899
// synopsys translate_off
2900
defparam \bitCountReg[2] .is_wysiwyg = "true";
2901
defparam \bitCountReg[2] .power_up = "low";
2902
// synopsys translate_on
2903
 
2904
// Location: LCCOMB_X30_Y2_N24
2905
cycloneiv_lcell_comb \bitCountReg[3]~14 (
2906
// Equation(s):
2907
// \bitCountReg[3]~14_combout  = (bitCountReg[3] & (!\bitCountReg[2]~13 )) # (!bitCountReg[3] & ((\bitCountReg[2]~13 ) # (GND)))
2908
// \bitCountReg[3]~15  = CARRY((!\bitCountReg[2]~13 ) # (!bitCountReg[3]))
2909
 
2910
        .dataa(gnd),
2911
        .datab(bitCountReg[3]),
2912
        .datac(gnd),
2913
        .datad(vcc),
2914
        .cin(\bitCountReg[2]~13 ),
2915
        .combout(\bitCountReg[3]~14_combout ),
2916
        .cout(\bitCountReg[3]~15 ));
2917
// synopsys translate_off
2918
defparam \bitCountReg[3]~14 .lut_mask = 16'h3C3F;
2919
defparam \bitCountReg[3]~14 .sum_lutc_input = "cin";
2920
// synopsys translate_on
2921
 
2922
// Location: FF_X30_Y2_N25
2923
dffeas \bitCountReg[3] (
2924
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2925
        .d(\bitCountReg[3]~14_combout ),
2926
        .asdata(vcc),
2927
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2928
        .aload(gnd),
2929
        .sclr(!\state.110~q ),
2930
        .sload(gnd),
2931
        .ena(\bitCountReg[3]~7_combout ),
2932
        .devclrn(devclrn),
2933
        .devpor(devpor),
2934
        .q(bitCountReg[3]),
2935
        .prn(vcc));
2936
// synopsys translate_off
2937
defparam \bitCountReg[3] .is_wysiwyg = "true";
2938
defparam \bitCountReg[3] .power_up = "low";
2939
// synopsys translate_on
2940
 
2941
// Location: LCCOMB_X30_Y2_N26
2942
cycloneiv_lcell_comb \bitCountReg[4]~16 (
2943
// Equation(s):
2944
// \bitCountReg[4]~16_combout  = (bitCountReg[4] & (\bitCountReg[3]~15  $ (GND))) # (!bitCountReg[4] & (!\bitCountReg[3]~15  & VCC))
2945
// \bitCountReg[4]~17  = CARRY((bitCountReg[4] & !\bitCountReg[3]~15 ))
2946
 
2947
        .dataa(bitCountReg[4]),
2948
        .datab(gnd),
2949
        .datac(gnd),
2950
        .datad(vcc),
2951
        .cin(\bitCountReg[3]~15 ),
2952
        .combout(\bitCountReg[4]~16_combout ),
2953
        .cout(\bitCountReg[4]~17 ));
2954
// synopsys translate_off
2955
defparam \bitCountReg[4]~16 .lut_mask = 16'hA50A;
2956
defparam \bitCountReg[4]~16 .sum_lutc_input = "cin";
2957
// synopsys translate_on
2958
 
2959
// Location: FF_X30_Y2_N27
2960
dffeas \bitCountReg[4] (
2961
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2962
        .d(\bitCountReg[4]~16_combout ),
2963
        .asdata(vcc),
2964
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
2965
        .aload(gnd),
2966
        .sclr(!\state.110~q ),
2967
        .sload(gnd),
2968
        .ena(\bitCountReg[3]~7_combout ),
2969
        .devclrn(devclrn),
2970
        .devpor(devpor),
2971
        .q(bitCountReg[4]),
2972
        .prn(vcc));
2973
// synopsys translate_off
2974
defparam \bitCountReg[4] .is_wysiwyg = "true";
2975
defparam \bitCountReg[4] .power_up = "low";
2976
// synopsys translate_on
2977
 
2978
// Location: LCCOMB_X30_Y2_N28
2979
cycloneiv_lcell_comb \bitCountReg[5]~18 (
2980
// Equation(s):
2981
// \bitCountReg[5]~18_combout  = (bitCountReg[5] & (!\bitCountReg[4]~17 )) # (!bitCountReg[5] & ((\bitCountReg[4]~17 ) # (GND)))
2982
// \bitCountReg[5]~19  = CARRY((!\bitCountReg[4]~17 ) # (!bitCountReg[5]))
2983
 
2984
        .dataa(gnd),
2985
        .datab(bitCountReg[5]),
2986
        .datac(gnd),
2987
        .datad(vcc),
2988
        .cin(\bitCountReg[4]~17 ),
2989
        .combout(\bitCountReg[5]~18_combout ),
2990
        .cout(\bitCountReg[5]~19 ));
2991
// synopsys translate_off
2992
defparam \bitCountReg[5]~18 .lut_mask = 16'h3C3F;
2993
defparam \bitCountReg[5]~18 .sum_lutc_input = "cin";
2994
// synopsys translate_on
2995
 
2996
// Location: FF_X30_Y2_N29
2997
dffeas \bitCountReg[5] (
2998
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
2999
        .d(\bitCountReg[5]~18_combout ),
3000
        .asdata(vcc),
3001
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3002
        .aload(gnd),
3003
        .sclr(!\state.110~q ),
3004
        .sload(gnd),
3005
        .ena(\bitCountReg[3]~7_combout ),
3006
        .devclrn(devclrn),
3007
        .devpor(devpor),
3008
        .q(bitCountReg[5]),
3009
        .prn(vcc));
3010
// synopsys translate_off
3011
defparam \bitCountReg[5] .is_wysiwyg = "true";
3012
defparam \bitCountReg[5] .power_up = "low";
3013
// synopsys translate_on
3014
 
3015
// Location: LCCOMB_X30_Y2_N30
3016
cycloneiv_lcell_comb \bitCountReg[6]~20 (
3017
// Equation(s):
3018
// \bitCountReg[6]~20_combout  = bitCountReg[6] $ (!\bitCountReg[5]~19 )
3019
 
3020
        .dataa(bitCountReg[6]),
3021
        .datab(gnd),
3022
        .datac(gnd),
3023
        .datad(gnd),
3024
        .cin(\bitCountReg[5]~19 ),
3025
        .combout(\bitCountReg[6]~20_combout ),
3026
        .cout());
3027
// synopsys translate_off
3028
defparam \bitCountReg[6]~20 .lut_mask = 16'hA5A5;
3029
defparam \bitCountReg[6]~20 .sum_lutc_input = "cin";
3030
// synopsys translate_on
3031
 
3032
// Location: FF_X30_Y2_N31
3033
dffeas \bitCountReg[6] (
3034
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3035
        .d(\bitCountReg[6]~20_combout ),
3036
        .asdata(vcc),
3037
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3038
        .aload(gnd),
3039
        .sclr(!\state.110~q ),
3040
        .sload(gnd),
3041
        .ena(\bitCountReg[3]~7_combout ),
3042
        .devclrn(devclrn),
3043
        .devpor(devpor),
3044
        .q(bitCountReg[6]),
3045
        .prn(vcc));
3046
// synopsys translate_off
3047
defparam \bitCountReg[6] .is_wysiwyg = "true";
3048
defparam \bitCountReg[6] .power_up = "low";
3049
// synopsys translate_on
3050
 
3051
// Location: LCCOMB_X30_Y2_N0
3052
cycloneiv_lcell_comb \Selector3~0 (
3053
// Equation(s):
3054
// \Selector3~0_combout  = (bitCountReg[6]) # (((bitCountReg[4]) # (bitCountReg[1])) # (!bitCountReg[5]))
3055
 
3056
        .dataa(bitCountReg[6]),
3057
        .datab(bitCountReg[5]),
3058
        .datac(bitCountReg[4]),
3059
        .datad(bitCountReg[1]),
3060
        .cin(gnd),
3061
        .combout(\Selector3~0_combout ),
3062
        .cout());
3063
// synopsys translate_off
3064
defparam \Selector3~0 .lut_mask = 16'hFFFB;
3065
defparam \Selector3~0 .sum_lutc_input = "datac";
3066
// synopsys translate_on
3067
 
3068
// Location: LCCOMB_X31_Y2_N22
3069
cycloneiv_lcell_comb \Selector3~1 (
3070
// Equation(s):
3071
// \Selector3~1_combout  = (bitCountReg[0]) # (bitCountReg[3])
3072
 
3073
        .dataa(gnd),
3074
        .datab(gnd),
3075
        .datac(bitCountReg[0]),
3076
        .datad(bitCountReg[3]),
3077
        .cin(gnd),
3078
        .combout(\Selector3~1_combout ),
3079
        .cout());
3080
// synopsys translate_off
3081
defparam \Selector3~1 .lut_mask = 16'hFFF0;
3082
defparam \Selector3~1 .sum_lutc_input = "datac";
3083
// synopsys translate_on
3084
 
3085
// Location: LCCOMB_X28_Y3_N22
3086
cycloneiv_lcell_comb \Selector3~2 (
3087
// Equation(s):
3088
// \Selector3~2_combout  = (\state.101~q  & ((\Selector3~0_combout ) # ((bitCountReg[2]) # (\Selector3~1_combout ))))
3089
 
3090
        .dataa(\state.101~q ),
3091
        .datab(\Selector3~0_combout ),
3092
        .datac(bitCountReg[2]),
3093
        .datad(\Selector3~1_combout ),
3094
        .cin(gnd),
3095
        .combout(\Selector3~2_combout ),
3096
        .cout());
3097
// synopsys translate_off
3098
defparam \Selector3~2 .lut_mask = 16'hAAA8;
3099
defparam \Selector3~2 .sum_lutc_input = "datac";
3100
// synopsys translate_on
3101
 
3102
// Location: LCCOMB_X28_Y3_N6
3103
cycloneiv_lcell_comb \Selector4~0 (
3104
// Equation(s):
3105
// \Selector4~0_combout  = (\Equal1~11_combout  & (\Equal1~9_combout  & (\Selector3~2_combout  & \Equal1~4_combout )))
3106
 
3107
        .dataa(\Equal1~11_combout ),
3108
        .datab(\Equal1~9_combout ),
3109
        .datac(\Selector3~2_combout ),
3110
        .datad(\Equal1~4_combout ),
3111
        .cin(gnd),
3112
        .combout(\Selector4~0_combout ),
3113
        .cout());
3114
// synopsys translate_off
3115
defparam \Selector4~0 .lut_mask = 16'h8000;
3116
defparam \Selector4~0 .sum_lutc_input = "datac";
3117
// synopsys translate_on
3118
 
3119
// Location: LCCOMB_X30_Y2_N2
3120
cycloneiv_lcell_comb \bitCount[0]~7 (
3121
// Equation(s):
3122
// \bitCount[0]~7_combout  = bitCount[0] $ (VCC)
3123
// \bitCount[0]~8  = CARRY(bitCount[0])
3124
 
3125
        .dataa(gnd),
3126
        .datab(bitCount[0]),
3127
        .datac(gnd),
3128
        .datad(vcc),
3129
        .cin(gnd),
3130
        .combout(\bitCount[0]~7_combout ),
3131
        .cout(\bitCount[0]~8 ));
3132
// synopsys translate_off
3133
defparam \bitCount[0]~7 .lut_mask = 16'h33CC;
3134
defparam \bitCount[0]~7 .sum_lutc_input = "datac";
3135
// synopsys translate_on
3136
 
3137
// Location: LCCOMB_X31_Y3_N24
3138
cycloneiv_lcell_comb \wb_interface|rty_int~1 (
3139
// Equation(s):
3140
// \wb_interface|rty_int~1_combout  = (\wb_cyc_i~input_o  & (\wb_stb_i~input_o  & (\wb_we_i~input_o  & \wb_interface|Equal2~0_combout )))
3141
 
3142
        .dataa(\wb_cyc_i~input_o ),
3143
        .datab(\wb_stb_i~input_o ),
3144
        .datac(\wb_we_i~input_o ),
3145
        .datad(\wb_interface|Equal2~0_combout ),
3146
        .cin(gnd),
3147
        .combout(\wb_interface|rty_int~1_combout ),
3148
        .cout());
3149
// synopsys translate_off
3150
defparam \wb_interface|rty_int~1 .lut_mask = 16'h8000;
3151
defparam \wb_interface|rty_int~1 .sum_lutc_input = "datac";
3152
// synopsys translate_on
3153
 
3154
// Location: LCCOMB_X31_Y3_N8
3155
cycloneiv_lcell_comb \wb_interface|always3~0 (
3156
// Equation(s):
3157
// \wb_interface|always3~0_combout  = (\wb_adr_i[0]~input_o  & (!\wb_adr_i[1]~input_o  & (!\lock_cfg~q  & \wb_interface|rty_int~1_combout )))
3158
 
3159
        .dataa(\wb_adr_i[0]~input_o ),
3160
        .datab(\wb_adr_i[1]~input_o ),
3161
        .datac(\lock_cfg~q ),
3162
        .datad(\wb_interface|rty_int~1_combout ),
3163
        .cin(gnd),
3164
        .combout(\wb_interface|always3~0_combout ),
3165
        .cout());
3166
// synopsys translate_off
3167
defparam \wb_interface|always3~0 .lut_mask = 16'h0200;
3168
defparam \wb_interface|always3~0 .sum_lutc_input = "datac";
3169
// synopsys translate_on
3170
 
3171
// Location: FF_X27_Y3_N25
3172
dffeas \wb_interface|pulsewidth[15] (
3173
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3174
        .d(gnd),
3175
        .asdata(\wb_dat_i[15]~input_o ),
3176
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3177
        .aload(gnd),
3178
        .sclr(gnd),
3179
        .sload(vcc),
3180
        .ena(\wb_interface|always3~0_combout ),
3181
        .devclrn(devclrn),
3182
        .devpor(devpor),
3183
        .q(\wb_interface|pulsewidth [15]),
3184
        .prn(vcc));
3185
// synopsys translate_off
3186
defparam \wb_interface|pulsewidth[15] .is_wysiwyg = "true";
3187
defparam \wb_interface|pulsewidth[15] .power_up = "low";
3188
// synopsys translate_on
3189
 
3190
// Location: FF_X27_Y3_N17
3191
dffeas \wb_interface|pulsewidth[14] (
3192
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3193
        .d(gnd),
3194
        .asdata(\wb_dat_i[14]~input_o ),
3195
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3196
        .aload(gnd),
3197
        .sclr(gnd),
3198
        .sload(vcc),
3199
        .ena(\wb_interface|always3~0_combout ),
3200
        .devclrn(devclrn),
3201
        .devpor(devpor),
3202
        .q(\wb_interface|pulsewidth [14]),
3203
        .prn(vcc));
3204
// synopsys translate_off
3205
defparam \wb_interface|pulsewidth[14] .is_wysiwyg = "true";
3206
defparam \wb_interface|pulsewidth[14] .power_up = "low";
3207
// synopsys translate_on
3208
 
3209
// Location: LCCOMB_X27_Y3_N16
3210
cycloneiv_lcell_comb \Equal3~8 (
3211
// Equation(s):
3212
// \Equal3~8_combout  = (pulseCnt[15] & (\wb_interface|pulsewidth [15] & (\wb_interface|pulsewidth [14] $ (!pulseCnt[14])))) # (!pulseCnt[15] & (!\wb_interface|pulsewidth [15] & (\wb_interface|pulsewidth [14] $ (!pulseCnt[14]))))
3213
 
3214
        .dataa(pulseCnt[15]),
3215
        .datab(\wb_interface|pulsewidth [15]),
3216
        .datac(\wb_interface|pulsewidth [14]),
3217
        .datad(pulseCnt[14]),
3218
        .cin(gnd),
3219
        .combout(\Equal3~8_combout ),
3220
        .cout());
3221
// synopsys translate_off
3222
defparam \Equal3~8 .lut_mask = 16'h9009;
3223
defparam \Equal3~8 .sum_lutc_input = "datac";
3224
// synopsys translate_on
3225
 
3226
// Location: FF_X27_Y3_N23
3227
dffeas \wb_interface|pulsewidth[11] (
3228
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3229
        .d(gnd),
3230
        .asdata(\wb_dat_i[11]~input_o ),
3231
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3232
        .aload(gnd),
3233
        .sclr(gnd),
3234
        .sload(vcc),
3235
        .ena(\wb_interface|always3~0_combout ),
3236
        .devclrn(devclrn),
3237
        .devpor(devpor),
3238
        .q(\wb_interface|pulsewidth [11]),
3239
        .prn(vcc));
3240
// synopsys translate_off
3241
defparam \wb_interface|pulsewidth[11] .is_wysiwyg = "true";
3242
defparam \wb_interface|pulsewidth[11] .power_up = "low";
3243
// synopsys translate_on
3244
 
3245
// Location: FF_X27_Y3_N19
3246
dffeas \wb_interface|pulsewidth[10] (
3247
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3248
        .d(gnd),
3249
        .asdata(\wb_dat_i[10]~input_o ),
3250
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3251
        .aload(gnd),
3252
        .sclr(gnd),
3253
        .sload(vcc),
3254
        .ena(\wb_interface|always3~0_combout ),
3255
        .devclrn(devclrn),
3256
        .devpor(devpor),
3257
        .q(\wb_interface|pulsewidth [10]),
3258
        .prn(vcc));
3259
// synopsys translate_off
3260
defparam \wb_interface|pulsewidth[10] .is_wysiwyg = "true";
3261
defparam \wb_interface|pulsewidth[10] .power_up = "low";
3262
// synopsys translate_on
3263
 
3264
// Location: LCCOMB_X27_Y3_N18
3265
cycloneiv_lcell_comb \Equal3~6 (
3266
// Equation(s):
3267
// \Equal3~6_combout  = (\wb_interface|pulsewidth [11] & (pulseCnt[11] & (pulseCnt[10] $ (!\wb_interface|pulsewidth [10])))) # (!\wb_interface|pulsewidth [11] & (!pulseCnt[11] & (pulseCnt[10] $ (!\wb_interface|pulsewidth [10]))))
3268
 
3269
        .dataa(\wb_interface|pulsewidth [11]),
3270
        .datab(pulseCnt[10]),
3271
        .datac(\wb_interface|pulsewidth [10]),
3272
        .datad(pulseCnt[11]),
3273
        .cin(gnd),
3274
        .combout(\Equal3~6_combout ),
3275
        .cout());
3276
// synopsys translate_off
3277
defparam \Equal3~6 .lut_mask = 16'h8241;
3278
defparam \Equal3~6 .sum_lutc_input = "datac";
3279
// synopsys translate_on
3280
 
3281
// Location: FF_X27_Y3_N13
3282
dffeas \wb_interface|pulsewidth[13] (
3283
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3284
        .d(gnd),
3285
        .asdata(\wb_dat_i[13]~input_o ),
3286
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3287
        .aload(gnd),
3288
        .sclr(gnd),
3289
        .sload(vcc),
3290
        .ena(\wb_interface|always3~0_combout ),
3291
        .devclrn(devclrn),
3292
        .devpor(devpor),
3293
        .q(\wb_interface|pulsewidth [13]),
3294
        .prn(vcc));
3295
// synopsys translate_off
3296
defparam \wb_interface|pulsewidth[13] .is_wysiwyg = "true";
3297
defparam \wb_interface|pulsewidth[13] .power_up = "low";
3298
// synopsys translate_on
3299
 
3300
// Location: FF_X27_Y3_N5
3301
dffeas \wb_interface|pulsewidth[12] (
3302
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3303
        .d(gnd),
3304
        .asdata(\wb_dat_i[12]~input_o ),
3305
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3306
        .aload(gnd),
3307
        .sclr(gnd),
3308
        .sload(vcc),
3309
        .ena(\wb_interface|always3~0_combout ),
3310
        .devclrn(devclrn),
3311
        .devpor(devpor),
3312
        .q(\wb_interface|pulsewidth [12]),
3313
        .prn(vcc));
3314
// synopsys translate_off
3315
defparam \wb_interface|pulsewidth[12] .is_wysiwyg = "true";
3316
defparam \wb_interface|pulsewidth[12] .power_up = "low";
3317
// synopsys translate_on
3318
 
3319
// Location: LCCOMB_X27_Y3_N4
3320
cycloneiv_lcell_comb \Equal3~7 (
3321
// Equation(s):
3322
// \Equal3~7_combout  = (\wb_interface|pulsewidth [13] & (pulseCnt[13] & (pulseCnt[12] $ (!\wb_interface|pulsewidth [12])))) # (!\wb_interface|pulsewidth [13] & (!pulseCnt[13] & (pulseCnt[12] $ (!\wb_interface|pulsewidth [12]))))
3323
 
3324
        .dataa(\wb_interface|pulsewidth [13]),
3325
        .datab(pulseCnt[12]),
3326
        .datac(\wb_interface|pulsewidth [12]),
3327
        .datad(pulseCnt[13]),
3328
        .cin(gnd),
3329
        .combout(\Equal3~7_combout ),
3330
        .cout());
3331
// synopsys translate_off
3332
defparam \Equal3~7 .lut_mask = 16'h8241;
3333
defparam \Equal3~7 .sum_lutc_input = "datac";
3334
// synopsys translate_on
3335
 
3336
// Location: FF_X27_Y1_N23
3337
dffeas \wb_interface|pulsewidth[8] (
3338
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3339
        .d(gnd),
3340
        .asdata(\wb_dat_i[8]~input_o ),
3341
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3342
        .aload(gnd),
3343
        .sclr(gnd),
3344
        .sload(vcc),
3345
        .ena(\wb_interface|always3~0_combout ),
3346
        .devclrn(devclrn),
3347
        .devpor(devpor),
3348
        .q(\wb_interface|pulsewidth [8]),
3349
        .prn(vcc));
3350
// synopsys translate_off
3351
defparam \wb_interface|pulsewidth[8] .is_wysiwyg = "true";
3352
defparam \wb_interface|pulsewidth[8] .power_up = "low";
3353
// synopsys translate_on
3354
 
3355
// Location: FF_X27_Y1_N29
3356
dffeas \wb_interface|pulsewidth[9] (
3357
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3358
        .d(gnd),
3359
        .asdata(\wb_dat_i[9]~input_o ),
3360
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3361
        .aload(gnd),
3362
        .sclr(gnd),
3363
        .sload(vcc),
3364
        .ena(\wb_interface|always3~0_combout ),
3365
        .devclrn(devclrn),
3366
        .devpor(devpor),
3367
        .q(\wb_interface|pulsewidth [9]),
3368
        .prn(vcc));
3369
// synopsys translate_off
3370
defparam \wb_interface|pulsewidth[9] .is_wysiwyg = "true";
3371
defparam \wb_interface|pulsewidth[9] .power_up = "low";
3372
// synopsys translate_on
3373
 
3374
// Location: LCCOMB_X27_Y1_N24
3375
cycloneiv_lcell_comb \Equal3~5 (
3376
// Equation(s):
3377
// \Equal3~5_combout  = (\wb_interface|pulsewidth [8] & (pulseCnt[8] & (\wb_interface|pulsewidth [9] $ (!pulseCnt[9])))) # (!\wb_interface|pulsewidth [8] & (!pulseCnt[8] & (\wb_interface|pulsewidth [9] $ (!pulseCnt[9]))))
3378
 
3379
        .dataa(\wb_interface|pulsewidth [8]),
3380
        .datab(\wb_interface|pulsewidth [9]),
3381
        .datac(pulseCnt[8]),
3382
        .datad(pulseCnt[9]),
3383
        .cin(gnd),
3384
        .combout(\Equal3~5_combout ),
3385
        .cout());
3386
// synopsys translate_off
3387
defparam \Equal3~5 .lut_mask = 16'h8421;
3388
defparam \Equal3~5 .sum_lutc_input = "datac";
3389
// synopsys translate_on
3390
 
3391
// Location: LCCOMB_X28_Y3_N28
3392
cycloneiv_lcell_comb \Equal3~9 (
3393
// Equation(s):
3394
// \Equal3~9_combout  = (\Equal3~8_combout  & (\Equal3~6_combout  & (\Equal3~7_combout  & \Equal3~5_combout )))
3395
 
3396
        .dataa(\Equal3~8_combout ),
3397
        .datab(\Equal3~6_combout ),
3398
        .datac(\Equal3~7_combout ),
3399
        .datad(\Equal3~5_combout ),
3400
        .cin(gnd),
3401
        .combout(\Equal3~9_combout ),
3402
        .cout());
3403
// synopsys translate_off
3404
defparam \Equal3~9 .lut_mask = 16'h8000;
3405
defparam \Equal3~9 .sum_lutc_input = "datac";
3406
// synopsys translate_on
3407
 
3408
// Location: FF_X27_Y4_N3
3409
dffeas \wb_interface|pulsewidth[5] (
3410
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3411
        .d(gnd),
3412
        .asdata(\wb_dat_i[5]~input_o ),
3413
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3414
        .aload(gnd),
3415
        .sclr(gnd),
3416
        .sload(vcc),
3417
        .ena(\wb_interface|always3~0_combout ),
3418
        .devclrn(devclrn),
3419
        .devpor(devpor),
3420
        .q(\wb_interface|pulsewidth [5]),
3421
        .prn(vcc));
3422
// synopsys translate_off
3423
defparam \wb_interface|pulsewidth[5] .is_wysiwyg = "true";
3424
defparam \wb_interface|pulsewidth[5] .power_up = "low";
3425
// synopsys translate_on
3426
 
3427
// Location: FF_X27_Y4_N31
3428
dffeas \wb_interface|pulsewidth[4] (
3429
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3430
        .d(gnd),
3431
        .asdata(\wb_dat_i[4]~input_o ),
3432
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3433
        .aload(gnd),
3434
        .sclr(gnd),
3435
        .sload(vcc),
3436
        .ena(\wb_interface|always3~0_combout ),
3437
        .devclrn(devclrn),
3438
        .devpor(devpor),
3439
        .q(\wb_interface|pulsewidth [4]),
3440
        .prn(vcc));
3441
// synopsys translate_off
3442
defparam \wb_interface|pulsewidth[4] .is_wysiwyg = "true";
3443
defparam \wb_interface|pulsewidth[4] .power_up = "low";
3444
// synopsys translate_on
3445
 
3446
// Location: LCCOMB_X27_Y4_N30
3447
cycloneiv_lcell_comb \Equal3~2 (
3448
// Equation(s):
3449
// \Equal3~2_combout  = (pulseCnt[4] & (\wb_interface|pulsewidth [4] & (\wb_interface|pulsewidth [5] $ (!pulseCnt[5])))) # (!pulseCnt[4] & (!\wb_interface|pulsewidth [4] & (\wb_interface|pulsewidth [5] $ (!pulseCnt[5]))))
3450
 
3451
        .dataa(pulseCnt[4]),
3452
        .datab(\wb_interface|pulsewidth [5]),
3453
        .datac(\wb_interface|pulsewidth [4]),
3454
        .datad(pulseCnt[5]),
3455
        .cin(gnd),
3456
        .combout(\Equal3~2_combout ),
3457
        .cout());
3458
// synopsys translate_off
3459
defparam \Equal3~2 .lut_mask = 16'h8421;
3460
defparam \Equal3~2 .sum_lutc_input = "datac";
3461
// synopsys translate_on
3462
 
3463
// Location: LCCOMB_X29_Y3_N0
3464
cycloneiv_lcell_comb \wb_interface|pulsewidth[3]~1 (
3465
// Equation(s):
3466
// \wb_interface|pulsewidth[3]~1_combout  = !\wb_dat_i[3]~input_o
3467
 
3468
        .dataa(gnd),
3469
        .datab(gnd),
3470
        .datac(\wb_dat_i[3]~input_o ),
3471
        .datad(gnd),
3472
        .cin(gnd),
3473
        .combout(\wb_interface|pulsewidth[3]~1_combout ),
3474
        .cout());
3475
// synopsys translate_off
3476
defparam \wb_interface|pulsewidth[3]~1 .lut_mask = 16'h0F0F;
3477
defparam \wb_interface|pulsewidth[3]~1 .sum_lutc_input = "datac";
3478
// synopsys translate_on
3479
 
3480
// Location: FF_X29_Y3_N1
3481
dffeas \wb_interface|pulsewidth[3] (
3482
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3483
        .d(\wb_interface|pulsewidth[3]~1_combout ),
3484
        .asdata(vcc),
3485
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3486
        .aload(gnd),
3487
        .sclr(gnd),
3488
        .sload(gnd),
3489
        .ena(\wb_interface|always3~0_combout ),
3490
        .devclrn(devclrn),
3491
        .devpor(devpor),
3492
        .q(\wb_interface|pulsewidth [3]),
3493
        .prn(vcc));
3494
// synopsys translate_off
3495
defparam \wb_interface|pulsewidth[3] .is_wysiwyg = "true";
3496
defparam \wb_interface|pulsewidth[3] .power_up = "low";
3497
// synopsys translate_on
3498
 
3499
// Location: FF_X29_Y3_N11
3500
dffeas \wb_interface|pulsewidth[2] (
3501
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3502
        .d(gnd),
3503
        .asdata(\wb_dat_i[2]~input_o ),
3504
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3505
        .aload(gnd),
3506
        .sclr(gnd),
3507
        .sload(vcc),
3508
        .ena(\wb_interface|always3~0_combout ),
3509
        .devclrn(devclrn),
3510
        .devpor(devpor),
3511
        .q(\wb_interface|pulsewidth [2]),
3512
        .prn(vcc));
3513
// synopsys translate_off
3514
defparam \wb_interface|pulsewidth[2] .is_wysiwyg = "true";
3515
defparam \wb_interface|pulsewidth[2] .power_up = "low";
3516
// synopsys translate_on
3517
 
3518
// Location: LCCOMB_X28_Y3_N24
3519
cycloneiv_lcell_comb \Equal3~1 (
3520
// Equation(s):
3521
// \Equal3~1_combout  = (pulseCnt[2] & (\wb_interface|pulsewidth [2] & (\wb_interface|pulsewidth [3] $ (pulseCnt[3])))) # (!pulseCnt[2] & (!\wb_interface|pulsewidth [2] & (\wb_interface|pulsewidth [3] $ (pulseCnt[3]))))
3522
 
3523
        .dataa(pulseCnt[2]),
3524
        .datab(\wb_interface|pulsewidth [3]),
3525
        .datac(\wb_interface|pulsewidth [2]),
3526
        .datad(pulseCnt[3]),
3527
        .cin(gnd),
3528
        .combout(\Equal3~1_combout ),
3529
        .cout());
3530
// synopsys translate_off
3531
defparam \Equal3~1 .lut_mask = 16'h2184;
3532
defparam \Equal3~1 .sum_lutc_input = "datac";
3533
// synopsys translate_on
3534
 
3535
// Location: FF_X27_Y4_N15
3536
dffeas \wb_interface|pulsewidth[7] (
3537
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3538
        .d(gnd),
3539
        .asdata(\wb_dat_i[7]~input_o ),
3540
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3541
        .aload(gnd),
3542
        .sclr(gnd),
3543
        .sload(vcc),
3544
        .ena(\wb_interface|always3~0_combout ),
3545
        .devclrn(devclrn),
3546
        .devpor(devpor),
3547
        .q(\wb_interface|pulsewidth [7]),
3548
        .prn(vcc));
3549
// synopsys translate_off
3550
defparam \wb_interface|pulsewidth[7] .is_wysiwyg = "true";
3551
defparam \wb_interface|pulsewidth[7] .power_up = "low";
3552
// synopsys translate_on
3553
 
3554
// Location: FF_X27_Y4_N11
3555
dffeas \wb_interface|pulsewidth[6] (
3556
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3557
        .d(gnd),
3558
        .asdata(\wb_dat_i[6]~input_o ),
3559
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3560
        .aload(gnd),
3561
        .sclr(gnd),
3562
        .sload(vcc),
3563
        .ena(\wb_interface|always3~0_combout ),
3564
        .devclrn(devclrn),
3565
        .devpor(devpor),
3566
        .q(\wb_interface|pulsewidth [6]),
3567
        .prn(vcc));
3568
// synopsys translate_off
3569
defparam \wb_interface|pulsewidth[6] .is_wysiwyg = "true";
3570
defparam \wb_interface|pulsewidth[6] .power_up = "low";
3571
// synopsys translate_on
3572
 
3573
// Location: LCCOMB_X27_Y4_N10
3574
cycloneiv_lcell_comb \Equal3~3 (
3575
// Equation(s):
3576
// \Equal3~3_combout  = (pulseCnt[6] & (\wb_interface|pulsewidth [6] & (\wb_interface|pulsewidth [7] $ (!pulseCnt[7])))) # (!pulseCnt[6] & (!\wb_interface|pulsewidth [6] & (\wb_interface|pulsewidth [7] $ (!pulseCnt[7]))))
3577
 
3578
        .dataa(pulseCnt[6]),
3579
        .datab(\wb_interface|pulsewidth [7]),
3580
        .datac(\wb_interface|pulsewidth [6]),
3581
        .datad(pulseCnt[7]),
3582
        .cin(gnd),
3583
        .combout(\Equal3~3_combout ),
3584
        .cout());
3585
// synopsys translate_off
3586
defparam \Equal3~3 .lut_mask = 16'h8421;
3587
defparam \Equal3~3 .sum_lutc_input = "datac";
3588
// synopsys translate_on
3589
 
3590
// Location: FF_X27_Y1_N13
3591
dffeas \wb_interface|pulsewidth[0] (
3592
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3593
        .d(gnd),
3594
        .asdata(\wb_dat_i[0]~input_o ),
3595
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3596
        .aload(gnd),
3597
        .sclr(gnd),
3598
        .sload(vcc),
3599
        .ena(\wb_interface|always3~0_combout ),
3600
        .devclrn(devclrn),
3601
        .devpor(devpor),
3602
        .q(\wb_interface|pulsewidth [0]),
3603
        .prn(vcc));
3604
// synopsys translate_off
3605
defparam \wb_interface|pulsewidth[0] .is_wysiwyg = "true";
3606
defparam \wb_interface|pulsewidth[0] .power_up = "low";
3607
// synopsys translate_on
3608
 
3609
// Location: LCCOMB_X27_Y1_N16
3610
cycloneiv_lcell_comb \wb_interface|pulsewidth[1]~0 (
3611
// Equation(s):
3612
// \wb_interface|pulsewidth[1]~0_combout  = !\wb_dat_i[1]~input_o
3613
 
3614
        .dataa(gnd),
3615
        .datab(gnd),
3616
        .datac(\wb_dat_i[1]~input_o ),
3617
        .datad(gnd),
3618
        .cin(gnd),
3619
        .combout(\wb_interface|pulsewidth[1]~0_combout ),
3620
        .cout());
3621
// synopsys translate_off
3622
defparam \wb_interface|pulsewidth[1]~0 .lut_mask = 16'h0F0F;
3623
defparam \wb_interface|pulsewidth[1]~0 .sum_lutc_input = "datac";
3624
// synopsys translate_on
3625
 
3626
// Location: FF_X27_Y1_N17
3627
dffeas \wb_interface|pulsewidth[1] (
3628
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3629
        .d(\wb_interface|pulsewidth[1]~0_combout ),
3630
        .asdata(vcc),
3631
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3632
        .aload(gnd),
3633
        .sclr(gnd),
3634
        .sload(gnd),
3635
        .ena(\wb_interface|always3~0_combout ),
3636
        .devclrn(devclrn),
3637
        .devpor(devpor),
3638
        .q(\wb_interface|pulsewidth [1]),
3639
        .prn(vcc));
3640
// synopsys translate_off
3641
defparam \wb_interface|pulsewidth[1] .is_wysiwyg = "true";
3642
defparam \wb_interface|pulsewidth[1] .power_up = "low";
3643
// synopsys translate_on
3644
 
3645
// Location: LCCOMB_X27_Y1_N18
3646
cycloneiv_lcell_comb \Equal3~0 (
3647
// Equation(s):
3648
// \Equal3~0_combout  = (\wb_interface|pulsewidth [0] & (pulseCnt[0] & (\wb_interface|pulsewidth [1] $ (pulseCnt[1])))) # (!\wb_interface|pulsewidth [0] & (!pulseCnt[0] & (\wb_interface|pulsewidth [1] $ (pulseCnt[1]))))
3649
 
3650
        .dataa(\wb_interface|pulsewidth [0]),
3651
        .datab(\wb_interface|pulsewidth [1]),
3652
        .datac(pulseCnt[0]),
3653
        .datad(pulseCnt[1]),
3654
        .cin(gnd),
3655
        .combout(\Equal3~0_combout ),
3656
        .cout());
3657
// synopsys translate_off
3658
defparam \Equal3~0 .lut_mask = 16'h2184;
3659
defparam \Equal3~0 .sum_lutc_input = "datac";
3660
// synopsys translate_on
3661
 
3662
// Location: LCCOMB_X28_Y3_N14
3663
cycloneiv_lcell_comb \Equal3~4 (
3664
// Equation(s):
3665
// \Equal3~4_combout  = (\Equal3~2_combout  & (\Equal3~1_combout  & (\Equal3~3_combout  & \Equal3~0_combout )))
3666
 
3667
        .dataa(\Equal3~2_combout ),
3668
        .datab(\Equal3~1_combout ),
3669
        .datac(\Equal3~3_combout ),
3670
        .datad(\Equal3~0_combout ),
3671
        .cin(gnd),
3672
        .combout(\Equal3~4_combout ),
3673
        .cout());
3674
// synopsys translate_off
3675
defparam \Equal3~4 .lut_mask = 16'h8000;
3676
defparam \Equal3~4 .sum_lutc_input = "datac";
3677
// synopsys translate_on
3678
 
3679
// Location: FF_X25_Y3_N13
3680
dffeas \wb_interface|pulsewidth[25] (
3681
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3682
        .d(gnd),
3683
        .asdata(\wb_dat_i[25]~input_o ),
3684
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3685
        .aload(gnd),
3686
        .sclr(gnd),
3687
        .sload(vcc),
3688
        .ena(\wb_interface|always3~0_combout ),
3689
        .devclrn(devclrn),
3690
        .devpor(devpor),
3691
        .q(\wb_interface|pulsewidth [25]),
3692
        .prn(vcc));
3693
// synopsys translate_off
3694
defparam \wb_interface|pulsewidth[25] .is_wysiwyg = "true";
3695
defparam \wb_interface|pulsewidth[25] .power_up = "low";
3696
// synopsys translate_on
3697
 
3698
// Location: LCCOMB_X26_Y3_N6
3699
cycloneiv_lcell_comb \pulseCnt[19]~70 (
3700
// Equation(s):
3701
// \pulseCnt[19]~70_combout  = (pulseCnt[19] & (!\pulseCnt[18]~69 )) # (!pulseCnt[19] & ((\pulseCnt[18]~69 ) # (GND)))
3702
// \pulseCnt[19]~71  = CARRY((!\pulseCnt[18]~69 ) # (!pulseCnt[19]))
3703
 
3704
        .dataa(pulseCnt[19]),
3705
        .datab(gnd),
3706
        .datac(gnd),
3707
        .datad(vcc),
3708
        .cin(\pulseCnt[18]~69 ),
3709
        .combout(\pulseCnt[19]~70_combout ),
3710
        .cout(\pulseCnt[19]~71 ));
3711
// synopsys translate_off
3712
defparam \pulseCnt[19]~70 .lut_mask = 16'h5A5F;
3713
defparam \pulseCnt[19]~70 .sum_lutc_input = "cin";
3714
// synopsys translate_on
3715
 
3716
// Location: LCCOMB_X26_Y3_N8
3717
cycloneiv_lcell_comb \pulseCnt[20]~72 (
3718
// Equation(s):
3719
// \pulseCnt[20]~72_combout  = (pulseCnt[20] & (\pulseCnt[19]~71  $ (GND))) # (!pulseCnt[20] & (!\pulseCnt[19]~71  & VCC))
3720
// \pulseCnt[20]~73  = CARRY((pulseCnt[20] & !\pulseCnt[19]~71 ))
3721
 
3722
        .dataa(gnd),
3723
        .datab(pulseCnt[20]),
3724
        .datac(gnd),
3725
        .datad(vcc),
3726
        .cin(\pulseCnt[19]~71 ),
3727
        .combout(\pulseCnt[20]~72_combout ),
3728
        .cout(\pulseCnt[20]~73 ));
3729
// synopsys translate_off
3730
defparam \pulseCnt[20]~72 .lut_mask = 16'hC30C;
3731
defparam \pulseCnt[20]~72 .sum_lutc_input = "cin";
3732
// synopsys translate_on
3733
 
3734
// Location: FF_X26_Y3_N9
3735
dffeas \pulseCnt[20] (
3736
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3737
        .d(\pulseCnt[20]~72_combout ),
3738
        .asdata(vcc),
3739
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3740
        .aload(gnd),
3741
        .sclr(\bit~0_combout ),
3742
        .sload(gnd),
3743
        .ena(vcc),
3744
        .devclrn(devclrn),
3745
        .devpor(devpor),
3746
        .q(pulseCnt[20]),
3747
        .prn(vcc));
3748
// synopsys translate_off
3749
defparam \pulseCnt[20] .is_wysiwyg = "true";
3750
defparam \pulseCnt[20] .power_up = "low";
3751
// synopsys translate_on
3752
 
3753
// Location: LCCOMB_X26_Y3_N10
3754
cycloneiv_lcell_comb \pulseCnt[21]~74 (
3755
// Equation(s):
3756
// \pulseCnt[21]~74_combout  = (pulseCnt[21] & (!\pulseCnt[20]~73 )) # (!pulseCnt[21] & ((\pulseCnt[20]~73 ) # (GND)))
3757
// \pulseCnt[21]~75  = CARRY((!\pulseCnt[20]~73 ) # (!pulseCnt[21]))
3758
 
3759
        .dataa(pulseCnt[21]),
3760
        .datab(gnd),
3761
        .datac(gnd),
3762
        .datad(vcc),
3763
        .cin(\pulseCnt[20]~73 ),
3764
        .combout(\pulseCnt[21]~74_combout ),
3765
        .cout(\pulseCnt[21]~75 ));
3766
// synopsys translate_off
3767
defparam \pulseCnt[21]~74 .lut_mask = 16'h5A5F;
3768
defparam \pulseCnt[21]~74 .sum_lutc_input = "cin";
3769
// synopsys translate_on
3770
 
3771
// Location: FF_X26_Y3_N11
3772
dffeas \pulseCnt[21] (
3773
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3774
        .d(\pulseCnt[21]~74_combout ),
3775
        .asdata(vcc),
3776
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3777
        .aload(gnd),
3778
        .sclr(\bit~0_combout ),
3779
        .sload(gnd),
3780
        .ena(vcc),
3781
        .devclrn(devclrn),
3782
        .devpor(devpor),
3783
        .q(pulseCnt[21]),
3784
        .prn(vcc));
3785
// synopsys translate_off
3786
defparam \pulseCnt[21] .is_wysiwyg = "true";
3787
defparam \pulseCnt[21] .power_up = "low";
3788
// synopsys translate_on
3789
 
3790
// Location: LCCOMB_X26_Y3_N12
3791
cycloneiv_lcell_comb \pulseCnt[22]~76 (
3792
// Equation(s):
3793
// \pulseCnt[22]~76_combout  = (pulseCnt[22] & (\pulseCnt[21]~75  $ (GND))) # (!pulseCnt[22] & (!\pulseCnt[21]~75  & VCC))
3794
// \pulseCnt[22]~77  = CARRY((pulseCnt[22] & !\pulseCnt[21]~75 ))
3795
 
3796
        .dataa(pulseCnt[22]),
3797
        .datab(gnd),
3798
        .datac(gnd),
3799
        .datad(vcc),
3800
        .cin(\pulseCnt[21]~75 ),
3801
        .combout(\pulseCnt[22]~76_combout ),
3802
        .cout(\pulseCnt[22]~77 ));
3803
// synopsys translate_off
3804
defparam \pulseCnt[22]~76 .lut_mask = 16'hA50A;
3805
defparam \pulseCnt[22]~76 .sum_lutc_input = "cin";
3806
// synopsys translate_on
3807
 
3808
// Location: FF_X26_Y3_N13
3809
dffeas \pulseCnt[22] (
3810
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3811
        .d(\pulseCnt[22]~76_combout ),
3812
        .asdata(vcc),
3813
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3814
        .aload(gnd),
3815
        .sclr(\bit~0_combout ),
3816
        .sload(gnd),
3817
        .ena(vcc),
3818
        .devclrn(devclrn),
3819
        .devpor(devpor),
3820
        .q(pulseCnt[22]),
3821
        .prn(vcc));
3822
// synopsys translate_off
3823
defparam \pulseCnt[22] .is_wysiwyg = "true";
3824
defparam \pulseCnt[22] .power_up = "low";
3825
// synopsys translate_on
3826
 
3827
// Location: LCCOMB_X26_Y3_N14
3828
cycloneiv_lcell_comb \pulseCnt[23]~78 (
3829
// Equation(s):
3830
// \pulseCnt[23]~78_combout  = (pulseCnt[23] & (!\pulseCnt[22]~77 )) # (!pulseCnt[23] & ((\pulseCnt[22]~77 ) # (GND)))
3831
// \pulseCnt[23]~79  = CARRY((!\pulseCnt[22]~77 ) # (!pulseCnt[23]))
3832
 
3833
        .dataa(gnd),
3834
        .datab(pulseCnt[23]),
3835
        .datac(gnd),
3836
        .datad(vcc),
3837
        .cin(\pulseCnt[22]~77 ),
3838
        .combout(\pulseCnt[23]~78_combout ),
3839
        .cout(\pulseCnt[23]~79 ));
3840
// synopsys translate_off
3841
defparam \pulseCnt[23]~78 .lut_mask = 16'h3C3F;
3842
defparam \pulseCnt[23]~78 .sum_lutc_input = "cin";
3843
// synopsys translate_on
3844
 
3845
// Location: FF_X26_Y3_N15
3846
dffeas \pulseCnt[23] (
3847
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3848
        .d(\pulseCnt[23]~78_combout ),
3849
        .asdata(vcc),
3850
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3851
        .aload(gnd),
3852
        .sclr(\bit~0_combout ),
3853
        .sload(gnd),
3854
        .ena(vcc),
3855
        .devclrn(devclrn),
3856
        .devpor(devpor),
3857
        .q(pulseCnt[23]),
3858
        .prn(vcc));
3859
// synopsys translate_off
3860
defparam \pulseCnt[23] .is_wysiwyg = "true";
3861
defparam \pulseCnt[23] .power_up = "low";
3862
// synopsys translate_on
3863
 
3864
// Location: LCCOMB_X26_Y3_N16
3865
cycloneiv_lcell_comb \pulseCnt[24]~80 (
3866
// Equation(s):
3867
// \pulseCnt[24]~80_combout  = (pulseCnt[24] & (\pulseCnt[23]~79  $ (GND))) # (!pulseCnt[24] & (!\pulseCnt[23]~79  & VCC))
3868
// \pulseCnt[24]~81  = CARRY((pulseCnt[24] & !\pulseCnt[23]~79 ))
3869
 
3870
        .dataa(gnd),
3871
        .datab(pulseCnt[24]),
3872
        .datac(gnd),
3873
        .datad(vcc),
3874
        .cin(\pulseCnt[23]~79 ),
3875
        .combout(\pulseCnt[24]~80_combout ),
3876
        .cout(\pulseCnt[24]~81 ));
3877
// synopsys translate_off
3878
defparam \pulseCnt[24]~80 .lut_mask = 16'hC30C;
3879
defparam \pulseCnt[24]~80 .sum_lutc_input = "cin";
3880
// synopsys translate_on
3881
 
3882
// Location: FF_X26_Y3_N17
3883
dffeas \pulseCnt[24] (
3884
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3885
        .d(\pulseCnt[24]~80_combout ),
3886
        .asdata(vcc),
3887
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3888
        .aload(gnd),
3889
        .sclr(\bit~0_combout ),
3890
        .sload(gnd),
3891
        .ena(vcc),
3892
        .devclrn(devclrn),
3893
        .devpor(devpor),
3894
        .q(pulseCnt[24]),
3895
        .prn(vcc));
3896
// synopsys translate_off
3897
defparam \pulseCnt[24] .is_wysiwyg = "true";
3898
defparam \pulseCnt[24] .power_up = "low";
3899
// synopsys translate_on
3900
 
3901
// Location: LCCOMB_X26_Y3_N18
3902
cycloneiv_lcell_comb \pulseCnt[25]~82 (
3903
// Equation(s):
3904
// \pulseCnt[25]~82_combout  = (pulseCnt[25] & (!\pulseCnt[24]~81 )) # (!pulseCnt[25] & ((\pulseCnt[24]~81 ) # (GND)))
3905
// \pulseCnt[25]~83  = CARRY((!\pulseCnt[24]~81 ) # (!pulseCnt[25]))
3906
 
3907
        .dataa(gnd),
3908
        .datab(pulseCnt[25]),
3909
        .datac(gnd),
3910
        .datad(vcc),
3911
        .cin(\pulseCnt[24]~81 ),
3912
        .combout(\pulseCnt[25]~82_combout ),
3913
        .cout(\pulseCnt[25]~83 ));
3914
// synopsys translate_off
3915
defparam \pulseCnt[25]~82 .lut_mask = 16'h3C3F;
3916
defparam \pulseCnt[25]~82 .sum_lutc_input = "cin";
3917
// synopsys translate_on
3918
 
3919
// Location: FF_X26_Y3_N19
3920
dffeas \pulseCnt[25] (
3921
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3922
        .d(\pulseCnt[25]~82_combout ),
3923
        .asdata(vcc),
3924
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3925
        .aload(gnd),
3926
        .sclr(\bit~0_combout ),
3927
        .sload(gnd),
3928
        .ena(vcc),
3929
        .devclrn(devclrn),
3930
        .devpor(devpor),
3931
        .q(pulseCnt[25]),
3932
        .prn(vcc));
3933
// synopsys translate_off
3934
defparam \pulseCnt[25] .is_wysiwyg = "true";
3935
defparam \pulseCnt[25] .power_up = "low";
3936
// synopsys translate_on
3937
 
3938
// Location: FF_X25_Y3_N11
3939
dffeas \wb_interface|pulsewidth[24] (
3940
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3941
        .d(gnd),
3942
        .asdata(\wb_dat_i[24]~input_o ),
3943
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3944
        .aload(gnd),
3945
        .sclr(gnd),
3946
        .sload(vcc),
3947
        .ena(\wb_interface|always3~0_combout ),
3948
        .devclrn(devclrn),
3949
        .devpor(devpor),
3950
        .q(\wb_interface|pulsewidth [24]),
3951
        .prn(vcc));
3952
// synopsys translate_off
3953
defparam \wb_interface|pulsewidth[24] .is_wysiwyg = "true";
3954
defparam \wb_interface|pulsewidth[24] .power_up = "low";
3955
// synopsys translate_on
3956
 
3957
// Location: LCCOMB_X25_Y3_N10
3958
cycloneiv_lcell_comb \Equal3~15 (
3959
// Equation(s):
3960
// \Equal3~15_combout  = (\wb_interface|pulsewidth [25] & (pulseCnt[25] & (\wb_interface|pulsewidth [24] $ (!pulseCnt[24])))) # (!\wb_interface|pulsewidth [25] & (!pulseCnt[25] & (\wb_interface|pulsewidth [24] $ (!pulseCnt[24]))))
3961
 
3962
        .dataa(\wb_interface|pulsewidth [25]),
3963
        .datab(pulseCnt[25]),
3964
        .datac(\wb_interface|pulsewidth [24]),
3965
        .datad(pulseCnt[24]),
3966
        .cin(gnd),
3967
        .combout(\Equal3~15_combout ),
3968
        .cout());
3969
// synopsys translate_off
3970
defparam \Equal3~15 .lut_mask = 16'h9009;
3971
defparam \Equal3~15 .sum_lutc_input = "datac";
3972
// synopsys translate_on
3973
 
3974
// Location: LCCOMB_X26_Y3_N20
3975
cycloneiv_lcell_comb \pulseCnt[26]~84 (
3976
// Equation(s):
3977
// \pulseCnt[26]~84_combout  = (pulseCnt[26] & (\pulseCnt[25]~83  $ (GND))) # (!pulseCnt[26] & (!\pulseCnt[25]~83  & VCC))
3978
// \pulseCnt[26]~85  = CARRY((pulseCnt[26] & !\pulseCnt[25]~83 ))
3979
 
3980
        .dataa(gnd),
3981
        .datab(pulseCnt[26]),
3982
        .datac(gnd),
3983
        .datad(vcc),
3984
        .cin(\pulseCnt[25]~83 ),
3985
        .combout(\pulseCnt[26]~84_combout ),
3986
        .cout(\pulseCnt[26]~85 ));
3987
// synopsys translate_off
3988
defparam \pulseCnt[26]~84 .lut_mask = 16'hC30C;
3989
defparam \pulseCnt[26]~84 .sum_lutc_input = "cin";
3990
// synopsys translate_on
3991
 
3992
// Location: FF_X26_Y3_N21
3993
dffeas \pulseCnt[26] (
3994
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
3995
        .d(\pulseCnt[26]~84_combout ),
3996
        .asdata(vcc),
3997
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
3998
        .aload(gnd),
3999
        .sclr(\bit~0_combout ),
4000
        .sload(gnd),
4001
        .ena(vcc),
4002
        .devclrn(devclrn),
4003
        .devpor(devpor),
4004
        .q(pulseCnt[26]),
4005
        .prn(vcc));
4006
// synopsys translate_off
4007
defparam \pulseCnt[26] .is_wysiwyg = "true";
4008
defparam \pulseCnt[26] .power_up = "low";
4009
// synopsys translate_on
4010
 
4011
// Location: LCCOMB_X26_Y3_N22
4012
cycloneiv_lcell_comb \pulseCnt[27]~86 (
4013
// Equation(s):
4014
// \pulseCnt[27]~86_combout  = (pulseCnt[27] & (!\pulseCnt[26]~85 )) # (!pulseCnt[27] & ((\pulseCnt[26]~85 ) # (GND)))
4015
// \pulseCnt[27]~87  = CARRY((!\pulseCnt[26]~85 ) # (!pulseCnt[27]))
4016
 
4017
        .dataa(pulseCnt[27]),
4018
        .datab(gnd),
4019
        .datac(gnd),
4020
        .datad(vcc),
4021
        .cin(\pulseCnt[26]~85 ),
4022
        .combout(\pulseCnt[27]~86_combout ),
4023
        .cout(\pulseCnt[27]~87 ));
4024
// synopsys translate_off
4025
defparam \pulseCnt[27]~86 .lut_mask = 16'h5A5F;
4026
defparam \pulseCnt[27]~86 .sum_lutc_input = "cin";
4027
// synopsys translate_on
4028
 
4029
// Location: FF_X26_Y3_N23
4030
dffeas \pulseCnt[27] (
4031
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4032
        .d(\pulseCnt[27]~86_combout ),
4033
        .asdata(vcc),
4034
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4035
        .aload(gnd),
4036
        .sclr(\bit~0_combout ),
4037
        .sload(gnd),
4038
        .ena(vcc),
4039
        .devclrn(devclrn),
4040
        .devpor(devpor),
4041
        .q(pulseCnt[27]),
4042
        .prn(vcc));
4043
// synopsys translate_off
4044
defparam \pulseCnt[27] .is_wysiwyg = "true";
4045
defparam \pulseCnt[27] .power_up = "low";
4046
// synopsys translate_on
4047
 
4048
// Location: FF_X29_Y3_N17
4049
dffeas \wb_interface|pulsewidth[27] (
4050
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4051
        .d(gnd),
4052
        .asdata(\wb_dat_i[27]~input_o ),
4053
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4054
        .aload(gnd),
4055
        .sclr(gnd),
4056
        .sload(vcc),
4057
        .ena(\wb_interface|always3~0_combout ),
4058
        .devclrn(devclrn),
4059
        .devpor(devpor),
4060
        .q(\wb_interface|pulsewidth [27]),
4061
        .prn(vcc));
4062
// synopsys translate_off
4063
defparam \wb_interface|pulsewidth[27] .is_wysiwyg = "true";
4064
defparam \wb_interface|pulsewidth[27] .power_up = "low";
4065
// synopsys translate_on
4066
 
4067
// Location: FF_X29_Y3_N29
4068
dffeas \wb_interface|pulsewidth[26] (
4069
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4070
        .d(gnd),
4071
        .asdata(\wb_dat_i[26]~input_o ),
4072
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4073
        .aload(gnd),
4074
        .sclr(gnd),
4075
        .sload(vcc),
4076
        .ena(\wb_interface|always3~0_combout ),
4077
        .devclrn(devclrn),
4078
        .devpor(devpor),
4079
        .q(\wb_interface|pulsewidth [26]),
4080
        .prn(vcc));
4081
// synopsys translate_off
4082
defparam \wb_interface|pulsewidth[26] .is_wysiwyg = "true";
4083
defparam \wb_interface|pulsewidth[26] .power_up = "low";
4084
// synopsys translate_on
4085
 
4086
// Location: LCCOMB_X29_Y3_N28
4087
cycloneiv_lcell_comb \Equal3~16 (
4088
// Equation(s):
4089
// \Equal3~16_combout  = (pulseCnt[27] & (\wb_interface|pulsewidth [27] & (\wb_interface|pulsewidth [26] $ (!pulseCnt[26])))) # (!pulseCnt[27] & (!\wb_interface|pulsewidth [27] & (\wb_interface|pulsewidth [26] $ (!pulseCnt[26]))))
4090
 
4091
        .dataa(pulseCnt[27]),
4092
        .datab(\wb_interface|pulsewidth [27]),
4093
        .datac(\wb_interface|pulsewidth [26]),
4094
        .datad(pulseCnt[26]),
4095
        .cin(gnd),
4096
        .combout(\Equal3~16_combout ),
4097
        .cout());
4098
// synopsys translate_off
4099
defparam \Equal3~16 .lut_mask = 16'h9009;
4100
defparam \Equal3~16 .sum_lutc_input = "datac";
4101
// synopsys translate_on
4102
 
4103
// Location: FF_X29_Y3_N7
4104
dffeas \wb_interface|pulsewidth[29] (
4105
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4106
        .d(gnd),
4107
        .asdata(\wb_dat_i[29]~input_o ),
4108
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4109
        .aload(gnd),
4110
        .sclr(gnd),
4111
        .sload(vcc),
4112
        .ena(\wb_interface|always3~0_combout ),
4113
        .devclrn(devclrn),
4114
        .devpor(devpor),
4115
        .q(\wb_interface|pulsewidth [29]),
4116
        .prn(vcc));
4117
// synopsys translate_off
4118
defparam \wb_interface|pulsewidth[29] .is_wysiwyg = "true";
4119
defparam \wb_interface|pulsewidth[29] .power_up = "low";
4120
// synopsys translate_on
4121
 
4122
// Location: FF_X29_Y3_N9
4123
dffeas \wb_interface|pulsewidth[28] (
4124
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4125
        .d(gnd),
4126
        .asdata(\wb_dat_i[28]~input_o ),
4127
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4128
        .aload(gnd),
4129
        .sclr(gnd),
4130
        .sload(vcc),
4131
        .ena(\wb_interface|always3~0_combout ),
4132
        .devclrn(devclrn),
4133
        .devpor(devpor),
4134
        .q(\wb_interface|pulsewidth [28]),
4135
        .prn(vcc));
4136
// synopsys translate_off
4137
defparam \wb_interface|pulsewidth[28] .is_wysiwyg = "true";
4138
defparam \wb_interface|pulsewidth[28] .power_up = "low";
4139
// synopsys translate_on
4140
 
4141
// Location: LCCOMB_X26_Y3_N24
4142
cycloneiv_lcell_comb \pulseCnt[28]~88 (
4143
// Equation(s):
4144
// \pulseCnt[28]~88_combout  = (pulseCnt[28] & (\pulseCnt[27]~87  $ (GND))) # (!pulseCnt[28] & (!\pulseCnt[27]~87  & VCC))
4145
// \pulseCnt[28]~89  = CARRY((pulseCnt[28] & !\pulseCnt[27]~87 ))
4146
 
4147
        .dataa(gnd),
4148
        .datab(pulseCnt[28]),
4149
        .datac(gnd),
4150
        .datad(vcc),
4151
        .cin(\pulseCnt[27]~87 ),
4152
        .combout(\pulseCnt[28]~88_combout ),
4153
        .cout(\pulseCnt[28]~89 ));
4154
// synopsys translate_off
4155
defparam \pulseCnt[28]~88 .lut_mask = 16'hC30C;
4156
defparam \pulseCnt[28]~88 .sum_lutc_input = "cin";
4157
// synopsys translate_on
4158
 
4159
// Location: FF_X26_Y3_N25
4160
dffeas \pulseCnt[28] (
4161
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4162
        .d(\pulseCnt[28]~88_combout ),
4163
        .asdata(vcc),
4164
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4165
        .aload(gnd),
4166
        .sclr(\bit~0_combout ),
4167
        .sload(gnd),
4168
        .ena(vcc),
4169
        .devclrn(devclrn),
4170
        .devpor(devpor),
4171
        .q(pulseCnt[28]),
4172
        .prn(vcc));
4173
// synopsys translate_off
4174
defparam \pulseCnt[28] .is_wysiwyg = "true";
4175
defparam \pulseCnt[28] .power_up = "low";
4176
// synopsys translate_on
4177
 
4178
// Location: LCCOMB_X26_Y3_N26
4179
cycloneiv_lcell_comb \pulseCnt[29]~90 (
4180
// Equation(s):
4181
// \pulseCnt[29]~90_combout  = (pulseCnt[29] & (!\pulseCnt[28]~89 )) # (!pulseCnt[29] & ((\pulseCnt[28]~89 ) # (GND)))
4182
// \pulseCnt[29]~91  = CARRY((!\pulseCnt[28]~89 ) # (!pulseCnt[29]))
4183
 
4184
        .dataa(pulseCnt[29]),
4185
        .datab(gnd),
4186
        .datac(gnd),
4187
        .datad(vcc),
4188
        .cin(\pulseCnt[28]~89 ),
4189
        .combout(\pulseCnt[29]~90_combout ),
4190
        .cout(\pulseCnt[29]~91 ));
4191
// synopsys translate_off
4192
defparam \pulseCnt[29]~90 .lut_mask = 16'h5A5F;
4193
defparam \pulseCnt[29]~90 .sum_lutc_input = "cin";
4194
// synopsys translate_on
4195
 
4196
// Location: FF_X26_Y3_N27
4197
dffeas \pulseCnt[29] (
4198
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4199
        .d(\pulseCnt[29]~90_combout ),
4200
        .asdata(vcc),
4201
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4202
        .aload(gnd),
4203
        .sclr(\bit~0_combout ),
4204
        .sload(gnd),
4205
        .ena(vcc),
4206
        .devclrn(devclrn),
4207
        .devpor(devpor),
4208
        .q(pulseCnt[29]),
4209
        .prn(vcc));
4210
// synopsys translate_off
4211
defparam \pulseCnt[29] .is_wysiwyg = "true";
4212
defparam \pulseCnt[29] .power_up = "low";
4213
// synopsys translate_on
4214
 
4215
// Location: LCCOMB_X29_Y3_N30
4216
cycloneiv_lcell_comb \Equal3~17 (
4217
// Equation(s):
4218
// \Equal3~17_combout  = (\wb_interface|pulsewidth [29] & (pulseCnt[29] & (\wb_interface|pulsewidth [28] $ (!pulseCnt[28])))) # (!\wb_interface|pulsewidth [29] & (!pulseCnt[29] & (\wb_interface|pulsewidth [28] $ (!pulseCnt[28]))))
4219
 
4220
        .dataa(\wb_interface|pulsewidth [29]),
4221
        .datab(\wb_interface|pulsewidth [28]),
4222
        .datac(pulseCnt[28]),
4223
        .datad(pulseCnt[29]),
4224
        .cin(gnd),
4225
        .combout(\Equal3~17_combout ),
4226
        .cout());
4227
// synopsys translate_off
4228
defparam \Equal3~17 .lut_mask = 16'h8241;
4229
defparam \Equal3~17 .sum_lutc_input = "datac";
4230
// synopsys translate_on
4231
 
4232
// Location: LCCOMB_X26_Y3_N28
4233
cycloneiv_lcell_comb \pulseCnt[30]~92 (
4234
// Equation(s):
4235
// \pulseCnt[30]~92_combout  = (pulseCnt[30] & (\pulseCnt[29]~91  $ (GND))) # (!pulseCnt[30] & (!\pulseCnt[29]~91  & VCC))
4236
// \pulseCnt[30]~93  = CARRY((pulseCnt[30] & !\pulseCnt[29]~91 ))
4237
 
4238
        .dataa(gnd),
4239
        .datab(pulseCnt[30]),
4240
        .datac(gnd),
4241
        .datad(vcc),
4242
        .cin(\pulseCnt[29]~91 ),
4243
        .combout(\pulseCnt[30]~92_combout ),
4244
        .cout(\pulseCnt[30]~93 ));
4245
// synopsys translate_off
4246
defparam \pulseCnt[30]~92 .lut_mask = 16'hC30C;
4247
defparam \pulseCnt[30]~92 .sum_lutc_input = "cin";
4248
// synopsys translate_on
4249
 
4250
// Location: FF_X26_Y3_N29
4251
dffeas \pulseCnt[30] (
4252
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4253
        .d(\pulseCnt[30]~92_combout ),
4254
        .asdata(vcc),
4255
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4256
        .aload(gnd),
4257
        .sclr(\bit~0_combout ),
4258
        .sload(gnd),
4259
        .ena(vcc),
4260
        .devclrn(devclrn),
4261
        .devpor(devpor),
4262
        .q(pulseCnt[30]),
4263
        .prn(vcc));
4264
// synopsys translate_off
4265
defparam \pulseCnt[30] .is_wysiwyg = "true";
4266
defparam \pulseCnt[30] .power_up = "low";
4267
// synopsys translate_on
4268
 
4269
// Location: LCCOMB_X26_Y3_N30
4270
cycloneiv_lcell_comb \pulseCnt[31]~94 (
4271
// Equation(s):
4272
// \pulseCnt[31]~94_combout  = pulseCnt[31] $ (\pulseCnt[30]~93 )
4273
 
4274
        .dataa(pulseCnt[31]),
4275
        .datab(gnd),
4276
        .datac(gnd),
4277
        .datad(gnd),
4278
        .cin(\pulseCnt[30]~93 ),
4279
        .combout(\pulseCnt[31]~94_combout ),
4280
        .cout());
4281
// synopsys translate_off
4282
defparam \pulseCnt[31]~94 .lut_mask = 16'h5A5A;
4283
defparam \pulseCnt[31]~94 .sum_lutc_input = "cin";
4284
// synopsys translate_on
4285
 
4286
// Location: FF_X26_Y3_N31
4287
dffeas \pulseCnt[31] (
4288
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4289
        .d(\pulseCnt[31]~94_combout ),
4290
        .asdata(vcc),
4291
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4292
        .aload(gnd),
4293
        .sclr(\bit~0_combout ),
4294
        .sload(gnd),
4295
        .ena(vcc),
4296
        .devclrn(devclrn),
4297
        .devpor(devpor),
4298
        .q(pulseCnt[31]),
4299
        .prn(vcc));
4300
// synopsys translate_off
4301
defparam \pulseCnt[31] .is_wysiwyg = "true";
4302
defparam \pulseCnt[31] .power_up = "low";
4303
// synopsys translate_on
4304
 
4305
// Location: FF_X27_Y4_N29
4306
dffeas \wb_interface|pulsewidth[31] (
4307
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4308
        .d(gnd),
4309
        .asdata(\wb_dat_i[31]~input_o ),
4310
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4311
        .aload(gnd),
4312
        .sclr(gnd),
4313
        .sload(vcc),
4314
        .ena(\wb_interface|always3~0_combout ),
4315
        .devclrn(devclrn),
4316
        .devpor(devpor),
4317
        .q(\wb_interface|pulsewidth [31]),
4318
        .prn(vcc));
4319
// synopsys translate_off
4320
defparam \wb_interface|pulsewidth[31] .is_wysiwyg = "true";
4321
defparam \wb_interface|pulsewidth[31] .power_up = "low";
4322
// synopsys translate_on
4323
 
4324
// Location: FF_X27_Y4_N21
4325
dffeas \wb_interface|pulsewidth[30] (
4326
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4327
        .d(gnd),
4328
        .asdata(\wb_dat_i[30]~input_o ),
4329
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4330
        .aload(gnd),
4331
        .sclr(gnd),
4332
        .sload(vcc),
4333
        .ena(\wb_interface|always3~0_combout ),
4334
        .devclrn(devclrn),
4335
        .devpor(devpor),
4336
        .q(\wb_interface|pulsewidth [30]),
4337
        .prn(vcc));
4338
// synopsys translate_off
4339
defparam \wb_interface|pulsewidth[30] .is_wysiwyg = "true";
4340
defparam \wb_interface|pulsewidth[30] .power_up = "low";
4341
// synopsys translate_on
4342
 
4343
// Location: LCCOMB_X27_Y4_N20
4344
cycloneiv_lcell_comb \Equal3~18 (
4345
// Equation(s):
4346
// \Equal3~18_combout  = (pulseCnt[31] & (\wb_interface|pulsewidth [31] & (\wb_interface|pulsewidth [30] $ (!pulseCnt[30])))) # (!pulseCnt[31] & (!\wb_interface|pulsewidth [31] & (\wb_interface|pulsewidth [30] $ (!pulseCnt[30]))))
4347
 
4348
        .dataa(pulseCnt[31]),
4349
        .datab(\wb_interface|pulsewidth [31]),
4350
        .datac(\wb_interface|pulsewidth [30]),
4351
        .datad(pulseCnt[30]),
4352
        .cin(gnd),
4353
        .combout(\Equal3~18_combout ),
4354
        .cout());
4355
// synopsys translate_off
4356
defparam \Equal3~18 .lut_mask = 16'h9009;
4357
defparam \Equal3~18 .sum_lutc_input = "datac";
4358
// synopsys translate_on
4359
 
4360
// Location: LCCOMB_X28_Y3_N20
4361
cycloneiv_lcell_comb \Equal3~19 (
4362
// Equation(s):
4363
// \Equal3~19_combout  = (\Equal3~15_combout  & (\Equal3~16_combout  & (\Equal3~17_combout  & \Equal3~18_combout )))
4364
 
4365
        .dataa(\Equal3~15_combout ),
4366
        .datab(\Equal3~16_combout ),
4367
        .datac(\Equal3~17_combout ),
4368
        .datad(\Equal3~18_combout ),
4369
        .cin(gnd),
4370
        .combout(\Equal3~19_combout ),
4371
        .cout());
4372
// synopsys translate_off
4373
defparam \Equal3~19 .lut_mask = 16'h8000;
4374
defparam \Equal3~19 .sum_lutc_input = "datac";
4375
// synopsys translate_on
4376
 
4377
// Location: LCCOMB_X28_Y3_N10
4378
cycloneiv_lcell_comb \Equal3~20 (
4379
// Equation(s):
4380
// \Equal3~20_combout  = (\Equal3~14_combout  & (\Equal3~9_combout  & (\Equal3~4_combout  & \Equal3~19_combout )))
4381
 
4382
        .dataa(\Equal3~14_combout ),
4383
        .datab(\Equal3~9_combout ),
4384
        .datac(\Equal3~4_combout ),
4385
        .datad(\Equal3~19_combout ),
4386
        .cin(gnd),
4387
        .combout(\Equal3~20_combout ),
4388
        .cout());
4389
// synopsys translate_off
4390
defparam \Equal3~20 .lut_mask = 16'h8000;
4391
defparam \Equal3~20 .sum_lutc_input = "datac";
4392
// synopsys translate_on
4393
 
4394
// Location: LCCOMB_X28_Y3_N12
4395
cycloneiv_lcell_comb \Selector2~0 (
4396
// Equation(s):
4397
// \Selector2~0_combout  = (\Selector4~0_combout  & ((\Equal2~4_combout ) # ((\state.100~q  & !\Equal3~20_combout )))) # (!\Selector4~0_combout  & (((\state.100~q  & !\Equal3~20_combout ))))
4398
 
4399
        .dataa(\Selector4~0_combout ),
4400
        .datab(\Equal2~4_combout ),
4401
        .datac(\state.100~q ),
4402
        .datad(\Equal3~20_combout ),
4403
        .cin(gnd),
4404
        .combout(\Selector2~0_combout ),
4405
        .cout());
4406
// synopsys translate_off
4407
defparam \Selector2~0 .lut_mask = 16'h88F8;
4408
defparam \Selector2~0 .sum_lutc_input = "datac";
4409
// synopsys translate_on
4410
 
4411
// Location: FF_X28_Y3_N13
4412
dffeas \state.100 (
4413
        .clk(\wb_clk_i~inputclkctrl_outclk ),
4414
        .d(\Selector2~0_combout ),
4415
        .asdata(vcc),
4416
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4417
        .aload(gnd),
4418
        .sclr(gnd),
4419
        .sload(gnd),
4420
        .ena(vcc),
4421
        .devclrn(devclrn),
4422
        .devpor(devpor),
4423
        .q(\state.100~q ),
4424
        .prn(vcc));
4425
// synopsys translate_off
4426
defparam \state.100 .is_wysiwyg = "true";
4427
defparam \state.100 .power_up = "low";
4428
// synopsys translate_on
4429
 
4430
// Location: LCCOMB_X28_Y4_N24
4431
cycloneiv_lcell_comb \wb_interface|size~0 (
4432
// Equation(s):
4433
// \wb_interface|size~0_combout  = (\wb_interface|always5~0_combout  & \wb_dat_i[7]~input_o )
4434
 
4435
        .dataa(gnd),
4436
        .datab(\wb_interface|always5~0_combout ),
4437
        .datac(gnd),
4438
        .datad(\wb_dat_i[7]~input_o ),
4439
        .cin(gnd),
4440
        .combout(\wb_interface|size~0_combout ),
4441
        .cout());
4442
// synopsys translate_off
4443
defparam \wb_interface|size~0 .lut_mask = 16'hCC00;
4444
defparam \wb_interface|size~0 .sum_lutc_input = "datac";
4445
// synopsys translate_on
4446
 
4447
// Location: FF_X28_Y4_N25
4448
dffeas \wb_interface|size[7] (
4449
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4450
        .d(\wb_interface|size~0_combout ),
4451
        .asdata(vcc),
4452
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4453
        .aload(gnd),
4454
        .sclr(gnd),
4455
        .sload(gnd),
4456
        .ena(vcc),
4457
        .devclrn(devclrn),
4458
        .devpor(devpor),
4459
        .q(\wb_interface|size [7]),
4460
        .prn(vcc));
4461
// synopsys translate_off
4462
defparam \wb_interface|size[7] .is_wysiwyg = "true";
4463
defparam \wb_interface|size[7] .power_up = "low";
4464
// synopsys translate_on
4465
 
4466
// Location: LCCOMB_X28_Y3_N0
4467
cycloneiv_lcell_comb \Selector0~0 (
4468
// Equation(s):
4469
// \Selector0~0_combout  = (\state.100~q  & (!\Equal3~20_combout  & ((\wb_interface|size [7]) # (\state.000~q )))) # (!\state.100~q  & ((\wb_interface|size [7]) # ((\state.000~q ))))
4470
 
4471
        .dataa(\state.100~q ),
4472
        .datab(\wb_interface|size [7]),
4473
        .datac(\state.000~q ),
4474
        .datad(\Equal3~20_combout ),
4475
        .cin(gnd),
4476
        .combout(\Selector0~0_combout ),
4477
        .cout());
4478
// synopsys translate_off
4479
defparam \Selector0~0 .lut_mask = 16'h54FC;
4480
defparam \Selector0~0 .sum_lutc_input = "datac";
4481
// synopsys translate_on
4482
 
4483
// Location: FF_X28_Y3_N1
4484
dffeas \state.000 (
4485
        .clk(\wb_clk_i~inputclkctrl_outclk ),
4486
        .d(\Selector0~0_combout ),
4487
        .asdata(vcc),
4488
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4489
        .aload(gnd),
4490
        .sclr(gnd),
4491
        .sload(gnd),
4492
        .ena(vcc),
4493
        .devclrn(devclrn),
4494
        .devpor(devpor),
4495
        .q(\state.000~q ),
4496
        .prn(vcc));
4497
// synopsys translate_off
4498
defparam \state.000 .is_wysiwyg = "true";
4499
defparam \state.000 .power_up = "low";
4500
// synopsys translate_on
4501
 
4502
// Location: LCCOMB_X29_Y2_N6
4503
cycloneiv_lcell_comb \bitCount[4]~11 (
4504
// Equation(s):
4505
// \bitCount[4]~11_combout  = \state.110~q  $ (!\state.000~q )
4506
 
4507
        .dataa(gnd),
4508
        .datab(gnd),
4509
        .datac(\state.110~q ),
4510
        .datad(\state.000~q ),
4511
        .cin(gnd),
4512
        .combout(\bitCount[4]~11_combout ),
4513
        .cout());
4514
// synopsys translate_off
4515
defparam \bitCount[4]~11 .lut_mask = 16'hF00F;
4516
defparam \bitCount[4]~11 .sum_lutc_input = "datac";
4517
// synopsys translate_on
4518
 
4519
// Location: FF_X30_Y2_N3
4520
dffeas \bitCount[0] (
4521
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4522
        .d(\bitCount[0]~7_combout ),
4523
        .asdata(vcc),
4524
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4525
        .aload(gnd),
4526
        .sclr(!\state.110~q ),
4527
        .sload(gnd),
4528
        .ena(\bitCount[4]~11_combout ),
4529
        .devclrn(devclrn),
4530
        .devpor(devpor),
4531
        .q(bitCount[0]),
4532
        .prn(vcc));
4533
// synopsys translate_off
4534
defparam \bitCount[0] .is_wysiwyg = "true";
4535
defparam \bitCount[0] .power_up = "low";
4536
// synopsys translate_on
4537
 
4538
// Location: LCCOMB_X30_Y2_N4
4539
cycloneiv_lcell_comb \bitCount[1]~9 (
4540
// Equation(s):
4541
// \bitCount[1]~9_combout  = (bitCount[1] & (!\bitCount[0]~8 )) # (!bitCount[1] & ((\bitCount[0]~8 ) # (GND)))
4542
// \bitCount[1]~10  = CARRY((!\bitCount[0]~8 ) # (!bitCount[1]))
4543
 
4544
        .dataa(gnd),
4545
        .datab(bitCount[1]),
4546
        .datac(gnd),
4547
        .datad(vcc),
4548
        .cin(\bitCount[0]~8 ),
4549
        .combout(\bitCount[1]~9_combout ),
4550
        .cout(\bitCount[1]~10 ));
4551
// synopsys translate_off
4552
defparam \bitCount[1]~9 .lut_mask = 16'h3C3F;
4553
defparam \bitCount[1]~9 .sum_lutc_input = "cin";
4554
// synopsys translate_on
4555
 
4556
// Location: FF_X30_Y2_N5
4557
dffeas \bitCount[1] (
4558
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4559
        .d(\bitCount[1]~9_combout ),
4560
        .asdata(vcc),
4561
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4562
        .aload(gnd),
4563
        .sclr(!\state.110~q ),
4564
        .sload(gnd),
4565
        .ena(\bitCount[4]~11_combout ),
4566
        .devclrn(devclrn),
4567
        .devpor(devpor),
4568
        .q(bitCount[1]),
4569
        .prn(vcc));
4570
// synopsys translate_off
4571
defparam \bitCount[1] .is_wysiwyg = "true";
4572
defparam \bitCount[1] .power_up = "low";
4573
// synopsys translate_on
4574
 
4575
// Location: LCCOMB_X29_Y2_N30
4576
cycloneiv_lcell_comb \wb_interface|size[1]~feeder (
4577
// Equation(s):
4578
// \wb_interface|size[1]~feeder_combout  = \wb_dat_i[1]~input_o
4579
 
4580
        .dataa(gnd),
4581
        .datab(gnd),
4582
        .datac(gnd),
4583
        .datad(\wb_dat_i[1]~input_o ),
4584
        .cin(gnd),
4585
        .combout(\wb_interface|size[1]~feeder_combout ),
4586
        .cout());
4587
// synopsys translate_off
4588
defparam \wb_interface|size[1]~feeder .lut_mask = 16'hFF00;
4589
defparam \wb_interface|size[1]~feeder .sum_lutc_input = "datac";
4590
// synopsys translate_on
4591
 
4592
// Location: FF_X29_Y2_N31
4593
dffeas \wb_interface|size[1] (
4594
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4595
        .d(\wb_interface|size[1]~feeder_combout ),
4596
        .asdata(vcc),
4597
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4598
        .aload(gnd),
4599
        .sclr(gnd),
4600
        .sload(gnd),
4601
        .ena(\wb_interface|always5~0_combout ),
4602
        .devclrn(devclrn),
4603
        .devpor(devpor),
4604
        .q(\wb_interface|size [1]),
4605
        .prn(vcc));
4606
// synopsys translate_off
4607
defparam \wb_interface|size[1] .is_wysiwyg = "true";
4608
defparam \wb_interface|size[1] .power_up = "low";
4609
// synopsys translate_on
4610
 
4611
// Location: LCCOMB_X29_Y2_N22
4612
cycloneiv_lcell_comb \Equal2~0 (
4613
// Equation(s):
4614
// \Equal2~0_combout  = (bitCount[1] & (\wb_interface|size [1] & (\wb_interface|size [0] $ (!bitCount[0])))) # (!bitCount[1] & (!\wb_interface|size [1] & (\wb_interface|size [0] $ (!bitCount[0]))))
4615
 
4616
        .dataa(bitCount[1]),
4617
        .datab(\wb_interface|size [0]),
4618
        .datac(\wb_interface|size [1]),
4619
        .datad(bitCount[0]),
4620
        .cin(gnd),
4621
        .combout(\Equal2~0_combout ),
4622
        .cout());
4623
// synopsys translate_off
4624
defparam \Equal2~0 .lut_mask = 16'h8421;
4625
defparam \Equal2~0 .sum_lutc_input = "datac";
4626
// synopsys translate_on
4627
 
4628
// Location: FF_X29_Y2_N11
4629
dffeas \wb_interface|size[4] (
4630
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4631
        .d(gnd),
4632
        .asdata(\wb_dat_i[4]~input_o ),
4633
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4634
        .aload(gnd),
4635
        .sclr(gnd),
4636
        .sload(vcc),
4637
        .ena(\wb_interface|always5~0_combout ),
4638
        .devclrn(devclrn),
4639
        .devpor(devpor),
4640
        .q(\wb_interface|size [4]),
4641
        .prn(vcc));
4642
// synopsys translate_off
4643
defparam \wb_interface|size[4] .is_wysiwyg = "true";
4644
defparam \wb_interface|size[4] .power_up = "low";
4645
// synopsys translate_on
4646
 
4647
// Location: LCCOMB_X30_Y2_N6
4648
cycloneiv_lcell_comb \bitCount[2]~12 (
4649
// Equation(s):
4650
// \bitCount[2]~12_combout  = (bitCount[2] & (\bitCount[1]~10  $ (GND))) # (!bitCount[2] & (!\bitCount[1]~10  & VCC))
4651
// \bitCount[2]~13  = CARRY((bitCount[2] & !\bitCount[1]~10 ))
4652
 
4653
        .dataa(bitCount[2]),
4654
        .datab(gnd),
4655
        .datac(gnd),
4656
        .datad(vcc),
4657
        .cin(\bitCount[1]~10 ),
4658
        .combout(\bitCount[2]~12_combout ),
4659
        .cout(\bitCount[2]~13 ));
4660
// synopsys translate_off
4661
defparam \bitCount[2]~12 .lut_mask = 16'hA50A;
4662
defparam \bitCount[2]~12 .sum_lutc_input = "cin";
4663
// synopsys translate_on
4664
 
4665
// Location: FF_X30_Y2_N7
4666
dffeas \bitCount[2] (
4667
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4668
        .d(\bitCount[2]~12_combout ),
4669
        .asdata(vcc),
4670
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4671
        .aload(gnd),
4672
        .sclr(!\state.110~q ),
4673
        .sload(gnd),
4674
        .ena(\bitCount[4]~11_combout ),
4675
        .devclrn(devclrn),
4676
        .devpor(devpor),
4677
        .q(bitCount[2]),
4678
        .prn(vcc));
4679
// synopsys translate_off
4680
defparam \bitCount[2] .is_wysiwyg = "true";
4681
defparam \bitCount[2] .power_up = "low";
4682
// synopsys translate_on
4683
 
4684
// Location: LCCOMB_X30_Y2_N8
4685
cycloneiv_lcell_comb \bitCount[3]~14 (
4686
// Equation(s):
4687
// \bitCount[3]~14_combout  = (bitCount[3] & (!\bitCount[2]~13 )) # (!bitCount[3] & ((\bitCount[2]~13 ) # (GND)))
4688
// \bitCount[3]~15  = CARRY((!\bitCount[2]~13 ) # (!bitCount[3]))
4689
 
4690
        .dataa(gnd),
4691
        .datab(bitCount[3]),
4692
        .datac(gnd),
4693
        .datad(vcc),
4694
        .cin(\bitCount[2]~13 ),
4695
        .combout(\bitCount[3]~14_combout ),
4696
        .cout(\bitCount[3]~15 ));
4697
// synopsys translate_off
4698
defparam \bitCount[3]~14 .lut_mask = 16'h3C3F;
4699
defparam \bitCount[3]~14 .sum_lutc_input = "cin";
4700
// synopsys translate_on
4701
 
4702
// Location: FF_X30_Y2_N9
4703
dffeas \bitCount[3] (
4704
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4705
        .d(\bitCount[3]~14_combout ),
4706
        .asdata(vcc),
4707
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4708
        .aload(gnd),
4709
        .sclr(!\state.110~q ),
4710
        .sload(gnd),
4711
        .ena(\bitCount[4]~11_combout ),
4712
        .devclrn(devclrn),
4713
        .devpor(devpor),
4714
        .q(bitCount[3]),
4715
        .prn(vcc));
4716
// synopsys translate_off
4717
defparam \bitCount[3] .is_wysiwyg = "true";
4718
defparam \bitCount[3] .power_up = "low";
4719
// synopsys translate_on
4720
 
4721
// Location: LCCOMB_X30_Y2_N10
4722
cycloneiv_lcell_comb \bitCount[4]~16 (
4723
// Equation(s):
4724
// \bitCount[4]~16_combout  = (bitCount[4] & (\bitCount[3]~15  $ (GND))) # (!bitCount[4] & (!\bitCount[3]~15  & VCC))
4725
// \bitCount[4]~17  = CARRY((bitCount[4] & !\bitCount[3]~15 ))
4726
 
4727
        .dataa(bitCount[4]),
4728
        .datab(gnd),
4729
        .datac(gnd),
4730
        .datad(vcc),
4731
        .cin(\bitCount[3]~15 ),
4732
        .combout(\bitCount[4]~16_combout ),
4733
        .cout(\bitCount[4]~17 ));
4734
// synopsys translate_off
4735
defparam \bitCount[4]~16 .lut_mask = 16'hA50A;
4736
defparam \bitCount[4]~16 .sum_lutc_input = "cin";
4737
// synopsys translate_on
4738
 
4739
// Location: FF_X30_Y2_N11
4740
dffeas \bitCount[4] (
4741
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4742
        .d(\bitCount[4]~16_combout ),
4743
        .asdata(vcc),
4744
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4745
        .aload(gnd),
4746
        .sclr(!\state.110~q ),
4747
        .sload(gnd),
4748
        .ena(\bitCount[4]~11_combout ),
4749
        .devclrn(devclrn),
4750
        .devpor(devpor),
4751
        .q(bitCount[4]),
4752
        .prn(vcc));
4753
// synopsys translate_off
4754
defparam \bitCount[4] .is_wysiwyg = "true";
4755
defparam \bitCount[4] .power_up = "low";
4756
// synopsys translate_on
4757
 
4758
// Location: LCCOMB_X30_Y2_N12
4759
cycloneiv_lcell_comb \bitCount[5]~18 (
4760
// Equation(s):
4761
// \bitCount[5]~18_combout  = (bitCount[5] & (!\bitCount[4]~17 )) # (!bitCount[5] & ((\bitCount[4]~17 ) # (GND)))
4762
// \bitCount[5]~19  = CARRY((!\bitCount[4]~17 ) # (!bitCount[5]))
4763
 
4764
        .dataa(bitCount[5]),
4765
        .datab(gnd),
4766
        .datac(gnd),
4767
        .datad(vcc),
4768
        .cin(\bitCount[4]~17 ),
4769
        .combout(\bitCount[5]~18_combout ),
4770
        .cout(\bitCount[5]~19 ));
4771
// synopsys translate_off
4772
defparam \bitCount[5]~18 .lut_mask = 16'h5A5F;
4773
defparam \bitCount[5]~18 .sum_lutc_input = "cin";
4774
// synopsys translate_on
4775
 
4776
// Location: FF_X30_Y2_N13
4777
dffeas \bitCount[5] (
4778
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4779
        .d(\bitCount[5]~18_combout ),
4780
        .asdata(vcc),
4781
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4782
        .aload(gnd),
4783
        .sclr(!\state.110~q ),
4784
        .sload(gnd),
4785
        .ena(\bitCount[4]~11_combout ),
4786
        .devclrn(devclrn),
4787
        .devpor(devpor),
4788
        .q(bitCount[5]),
4789
        .prn(vcc));
4790
// synopsys translate_off
4791
defparam \bitCount[5] .is_wysiwyg = "true";
4792
defparam \bitCount[5] .power_up = "low";
4793
// synopsys translate_on
4794
 
4795
// Location: LCCOMB_X29_Y2_N16
4796
cycloneiv_lcell_comb \wb_interface|size[5]~feeder (
4797
// Equation(s):
4798
// \wb_interface|size[5]~feeder_combout  = \wb_dat_i[5]~input_o
4799
 
4800
        .dataa(gnd),
4801
        .datab(gnd),
4802
        .datac(gnd),
4803
        .datad(\wb_dat_i[5]~input_o ),
4804
        .cin(gnd),
4805
        .combout(\wb_interface|size[5]~feeder_combout ),
4806
        .cout());
4807
// synopsys translate_off
4808
defparam \wb_interface|size[5]~feeder .lut_mask = 16'hFF00;
4809
defparam \wb_interface|size[5]~feeder .sum_lutc_input = "datac";
4810
// synopsys translate_on
4811
 
4812
// Location: FF_X29_Y2_N17
4813
dffeas \wb_interface|size[5] (
4814
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4815
        .d(\wb_interface|size[5]~feeder_combout ),
4816
        .asdata(vcc),
4817
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4818
        .aload(gnd),
4819
        .sclr(gnd),
4820
        .sload(gnd),
4821
        .ena(\wb_interface|always5~0_combout ),
4822
        .devclrn(devclrn),
4823
        .devpor(devpor),
4824
        .q(\wb_interface|size [5]),
4825
        .prn(vcc));
4826
// synopsys translate_off
4827
defparam \wb_interface|size[5] .is_wysiwyg = "true";
4828
defparam \wb_interface|size[5] .power_up = "low";
4829
// synopsys translate_on
4830
 
4831
// Location: LCCOMB_X29_Y2_N14
4832
cycloneiv_lcell_comb \Equal2~2 (
4833
// Equation(s):
4834
// \Equal2~2_combout  = (\wb_interface|size [4] & (bitCount[4] & (bitCount[5] $ (!\wb_interface|size [5])))) # (!\wb_interface|size [4] & (!bitCount[4] & (bitCount[5] $ (!\wb_interface|size [5]))))
4835
 
4836
        .dataa(\wb_interface|size [4]),
4837
        .datab(bitCount[4]),
4838
        .datac(bitCount[5]),
4839
        .datad(\wb_interface|size [5]),
4840
        .cin(gnd),
4841
        .combout(\Equal2~2_combout ),
4842
        .cout());
4843
// synopsys translate_off
4844
defparam \Equal2~2 .lut_mask = 16'h9009;
4845
defparam \Equal2~2 .sum_lutc_input = "datac";
4846
// synopsys translate_on
4847
 
4848
// Location: LCCOMB_X30_Y2_N14
4849
cycloneiv_lcell_comb \bitCount[6]~20 (
4850
// Equation(s):
4851
// \bitCount[6]~20_combout  = bitCount[6] $ (!\bitCount[5]~19 )
4852
 
4853
        .dataa(gnd),
4854
        .datab(bitCount[6]),
4855
        .datac(gnd),
4856
        .datad(gnd),
4857
        .cin(\bitCount[5]~19 ),
4858
        .combout(\bitCount[6]~20_combout ),
4859
        .cout());
4860
// synopsys translate_off
4861
defparam \bitCount[6]~20 .lut_mask = 16'hC3C3;
4862
defparam \bitCount[6]~20 .sum_lutc_input = "cin";
4863
// synopsys translate_on
4864
 
4865
// Location: FF_X30_Y2_N15
4866
dffeas \bitCount[6] (
4867
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4868
        .d(\bitCount[6]~20_combout ),
4869
        .asdata(vcc),
4870
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4871
        .aload(gnd),
4872
        .sclr(!\state.110~q ),
4873
        .sload(gnd),
4874
        .ena(\bitCount[4]~11_combout ),
4875
        .devclrn(devclrn),
4876
        .devpor(devpor),
4877
        .q(bitCount[6]),
4878
        .prn(vcc));
4879
// synopsys translate_off
4880
defparam \bitCount[6] .is_wysiwyg = "true";
4881
defparam \bitCount[6] .power_up = "low";
4882
// synopsys translate_on
4883
 
4884
// Location: FF_X29_Y2_N25
4885
dffeas \wb_interface|size[6] (
4886
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4887
        .d(gnd),
4888
        .asdata(\wb_dat_i[6]~input_o ),
4889
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4890
        .aload(gnd),
4891
        .sclr(gnd),
4892
        .sload(vcc),
4893
        .ena(\wb_interface|always5~0_combout ),
4894
        .devclrn(devclrn),
4895
        .devpor(devpor),
4896
        .q(\wb_interface|size [6]),
4897
        .prn(vcc));
4898
// synopsys translate_off
4899
defparam \wb_interface|size[6] .is_wysiwyg = "true";
4900
defparam \wb_interface|size[6] .power_up = "low";
4901
// synopsys translate_on
4902
 
4903
// Location: LCCOMB_X29_Y2_N4
4904
cycloneiv_lcell_comb \Equal2~3 (
4905
// Equation(s):
4906
// \Equal2~3_combout  = bitCount[6] $ (\wb_interface|size [6])
4907
 
4908
        .dataa(gnd),
4909
        .datab(gnd),
4910
        .datac(bitCount[6]),
4911
        .datad(\wb_interface|size [6]),
4912
        .cin(gnd),
4913
        .combout(\Equal2~3_combout ),
4914
        .cout());
4915
// synopsys translate_off
4916
defparam \Equal2~3 .lut_mask = 16'h0FF0;
4917
defparam \Equal2~3 .sum_lutc_input = "datac";
4918
// synopsys translate_on
4919
 
4920
// Location: FF_X29_Y2_N21
4921
dffeas \wb_interface|size[3] (
4922
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4923
        .d(gnd),
4924
        .asdata(\wb_dat_i[3]~input_o ),
4925
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4926
        .aload(gnd),
4927
        .sclr(gnd),
4928
        .sload(vcc),
4929
        .ena(\wb_interface|always5~0_combout ),
4930
        .devclrn(devclrn),
4931
        .devpor(devpor),
4932
        .q(\wb_interface|size [3]),
4933
        .prn(vcc));
4934
// synopsys translate_off
4935
defparam \wb_interface|size[3] .is_wysiwyg = "true";
4936
defparam \wb_interface|size[3] .power_up = "low";
4937
// synopsys translate_on
4938
 
4939
// Location: FF_X29_Y2_N19
4940
dffeas \wb_interface|size[2] (
4941
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
4942
        .d(gnd),
4943
        .asdata(\wb_dat_i[2]~input_o ),
4944
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
4945
        .aload(gnd),
4946
        .sclr(gnd),
4947
        .sload(vcc),
4948
        .ena(\wb_interface|always5~0_combout ),
4949
        .devclrn(devclrn),
4950
        .devpor(devpor),
4951
        .q(\wb_interface|size [2]),
4952
        .prn(vcc));
4953
// synopsys translate_off
4954
defparam \wb_interface|size[2] .is_wysiwyg = "true";
4955
defparam \wb_interface|size[2] .power_up = "low";
4956
// synopsys translate_on
4957
 
4958
// Location: LCCOMB_X29_Y2_N12
4959
cycloneiv_lcell_comb \Equal2~1 (
4960
// Equation(s):
4961
// \Equal2~1_combout  = (bitCount[2] & (\wb_interface|size [2] & (\wb_interface|size [3] $ (!bitCount[3])))) # (!bitCount[2] & (!\wb_interface|size [2] & (\wb_interface|size [3] $ (!bitCount[3]))))
4962
 
4963
        .dataa(bitCount[2]),
4964
        .datab(\wb_interface|size [3]),
4965
        .datac(bitCount[3]),
4966
        .datad(\wb_interface|size [2]),
4967
        .cin(gnd),
4968
        .combout(\Equal2~1_combout ),
4969
        .cout());
4970
// synopsys translate_off
4971
defparam \Equal2~1 .lut_mask = 16'h8241;
4972
defparam \Equal2~1 .sum_lutc_input = "datac";
4973
// synopsys translate_on
4974
 
4975
// Location: LCCOMB_X29_Y2_N26
4976
cycloneiv_lcell_comb \Equal2~4 (
4977
// Equation(s):
4978
// \Equal2~4_combout  = (\Equal2~0_combout  & (\Equal2~2_combout  & (!\Equal2~3_combout  & \Equal2~1_combout )))
4979
 
4980
        .dataa(\Equal2~0_combout ),
4981
        .datab(\Equal2~2_combout ),
4982
        .datac(\Equal2~3_combout ),
4983
        .datad(\Equal2~1_combout ),
4984
        .cin(gnd),
4985
        .combout(\Equal2~4_combout ),
4986
        .cout());
4987
// synopsys translate_off
4988
defparam \Equal2~4 .lut_mask = 16'h0800;
4989
defparam \Equal2~4 .sum_lutc_input = "datac";
4990
// synopsys translate_on
4991
 
4992
// Location: LCCOMB_X28_Y3_N26
4993
cycloneiv_lcell_comb \Selector4~1 (
4994
// Equation(s):
4995
// \Selector4~1_combout  = (\Selector4~0_combout  & (((\state.111~q  & !\Equal3~20_combout )) # (!\Equal2~4_combout ))) # (!\Selector4~0_combout  & (((\state.111~q  & !\Equal3~20_combout ))))
4996
 
4997
        .dataa(\Selector4~0_combout ),
4998
        .datab(\Equal2~4_combout ),
4999
        .datac(\state.111~q ),
5000
        .datad(\Equal3~20_combout ),
5001
        .cin(gnd),
5002
        .combout(\Selector4~1_combout ),
5003
        .cout());
5004
// synopsys translate_off
5005
defparam \Selector4~1 .lut_mask = 16'h22F2;
5006
defparam \Selector4~1 .sum_lutc_input = "datac";
5007
// synopsys translate_on
5008
 
5009
// Location: FF_X28_Y3_N27
5010
dffeas \state.111 (
5011
        .clk(\wb_clk_i~inputclkctrl_outclk ),
5012
        .d(\Selector4~1_combout ),
5013
        .asdata(vcc),
5014
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5015
        .aload(gnd),
5016
        .sclr(gnd),
5017
        .sload(gnd),
5018
        .ena(vcc),
5019
        .devclrn(devclrn),
5020
        .devpor(devpor),
5021
        .q(\state.111~q ),
5022
        .prn(vcc));
5023
// synopsys translate_off
5024
defparam \state.111 .is_wysiwyg = "true";
5025
defparam \state.111 .power_up = "low";
5026
// synopsys translate_on
5027
 
5028
// Location: LCCOMB_X27_Y3_N2
5029
cycloneiv_lcell_comb \bit~0 (
5030
// Equation(s):
5031
// \bit~0_combout  = (!\state.111~q  & !\state.100~q )
5032
 
5033
        .dataa(gnd),
5034
        .datab(\state.111~q ),
5035
        .datac(gnd),
5036
        .datad(\state.100~q ),
5037
        .cin(gnd),
5038
        .combout(\bit~0_combout ),
5039
        .cout());
5040
// synopsys translate_off
5041
defparam \bit~0 .lut_mask = 16'h0033;
5042
defparam \bit~0 .sum_lutc_input = "datac";
5043
// synopsys translate_on
5044
 
5045
// Location: FF_X26_Y4_N1
5046
dffeas \pulseCnt[0] (
5047
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5048
        .d(\pulseCnt[0]~32_combout ),
5049
        .asdata(vcc),
5050
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5051
        .aload(gnd),
5052
        .sclr(\bit~0_combout ),
5053
        .sload(gnd),
5054
        .ena(vcc),
5055
        .devclrn(devclrn),
5056
        .devpor(devpor),
5057
        .q(pulseCnt[0]),
5058
        .prn(vcc));
5059
// synopsys translate_off
5060
defparam \pulseCnt[0] .is_wysiwyg = "true";
5061
defparam \pulseCnt[0] .power_up = "low";
5062
// synopsys translate_on
5063
 
5064
// Location: LCCOMB_X26_Y4_N2
5065
cycloneiv_lcell_comb \pulseCnt[1]~34 (
5066
// Equation(s):
5067
// \pulseCnt[1]~34_combout  = (pulseCnt[1] & (!\pulseCnt[0]~33 )) # (!pulseCnt[1] & ((\pulseCnt[0]~33 ) # (GND)))
5068
// \pulseCnt[1]~35  = CARRY((!\pulseCnt[0]~33 ) # (!pulseCnt[1]))
5069
 
5070
        .dataa(gnd),
5071
        .datab(pulseCnt[1]),
5072
        .datac(gnd),
5073
        .datad(vcc),
5074
        .cin(\pulseCnt[0]~33 ),
5075
        .combout(\pulseCnt[1]~34_combout ),
5076
        .cout(\pulseCnt[1]~35 ));
5077
// synopsys translate_off
5078
defparam \pulseCnt[1]~34 .lut_mask = 16'h3C3F;
5079
defparam \pulseCnt[1]~34 .sum_lutc_input = "cin";
5080
// synopsys translate_on
5081
 
5082
// Location: FF_X26_Y4_N3
5083
dffeas \pulseCnt[1] (
5084
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5085
        .d(\pulseCnt[1]~34_combout ),
5086
        .asdata(vcc),
5087
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5088
        .aload(gnd),
5089
        .sclr(\bit~0_combout ),
5090
        .sload(gnd),
5091
        .ena(vcc),
5092
        .devclrn(devclrn),
5093
        .devpor(devpor),
5094
        .q(pulseCnt[1]),
5095
        .prn(vcc));
5096
// synopsys translate_off
5097
defparam \pulseCnt[1] .is_wysiwyg = "true";
5098
defparam \pulseCnt[1] .power_up = "low";
5099
// synopsys translate_on
5100
 
5101
// Location: LCCOMB_X26_Y4_N4
5102
cycloneiv_lcell_comb \pulseCnt[2]~36 (
5103
// Equation(s):
5104
// \pulseCnt[2]~36_combout  = (pulseCnt[2] & (\pulseCnt[1]~35  $ (GND))) # (!pulseCnt[2] & (!\pulseCnt[1]~35  & VCC))
5105
// \pulseCnt[2]~37  = CARRY((pulseCnt[2] & !\pulseCnt[1]~35 ))
5106
 
5107
        .dataa(gnd),
5108
        .datab(pulseCnt[2]),
5109
        .datac(gnd),
5110
        .datad(vcc),
5111
        .cin(\pulseCnt[1]~35 ),
5112
        .combout(\pulseCnt[2]~36_combout ),
5113
        .cout(\pulseCnt[2]~37 ));
5114
// synopsys translate_off
5115
defparam \pulseCnt[2]~36 .lut_mask = 16'hC30C;
5116
defparam \pulseCnt[2]~36 .sum_lutc_input = "cin";
5117
// synopsys translate_on
5118
 
5119
// Location: FF_X26_Y4_N5
5120
dffeas \pulseCnt[2] (
5121
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5122
        .d(\pulseCnt[2]~36_combout ),
5123
        .asdata(vcc),
5124
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5125
        .aload(gnd),
5126
        .sclr(\bit~0_combout ),
5127
        .sload(gnd),
5128
        .ena(vcc),
5129
        .devclrn(devclrn),
5130
        .devpor(devpor),
5131
        .q(pulseCnt[2]),
5132
        .prn(vcc));
5133
// synopsys translate_off
5134
defparam \pulseCnt[2] .is_wysiwyg = "true";
5135
defparam \pulseCnt[2] .power_up = "low";
5136
// synopsys translate_on
5137
 
5138
// Location: LCCOMB_X26_Y4_N6
5139
cycloneiv_lcell_comb \pulseCnt[3]~38 (
5140
// Equation(s):
5141
// \pulseCnt[3]~38_combout  = (pulseCnt[3] & (!\pulseCnt[2]~37 )) # (!pulseCnt[3] & ((\pulseCnt[2]~37 ) # (GND)))
5142
// \pulseCnt[3]~39  = CARRY((!\pulseCnt[2]~37 ) # (!pulseCnt[3]))
5143
 
5144
        .dataa(pulseCnt[3]),
5145
        .datab(gnd),
5146
        .datac(gnd),
5147
        .datad(vcc),
5148
        .cin(\pulseCnt[2]~37 ),
5149
        .combout(\pulseCnt[3]~38_combout ),
5150
        .cout(\pulseCnt[3]~39 ));
5151
// synopsys translate_off
5152
defparam \pulseCnt[3]~38 .lut_mask = 16'h5A5F;
5153
defparam \pulseCnt[3]~38 .sum_lutc_input = "cin";
5154
// synopsys translate_on
5155
 
5156
// Location: FF_X26_Y4_N7
5157
dffeas \pulseCnt[3] (
5158
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5159
        .d(\pulseCnt[3]~38_combout ),
5160
        .asdata(vcc),
5161
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5162
        .aload(gnd),
5163
        .sclr(\bit~0_combout ),
5164
        .sload(gnd),
5165
        .ena(vcc),
5166
        .devclrn(devclrn),
5167
        .devpor(devpor),
5168
        .q(pulseCnt[3]),
5169
        .prn(vcc));
5170
// synopsys translate_off
5171
defparam \pulseCnt[3] .is_wysiwyg = "true";
5172
defparam \pulseCnt[3] .power_up = "low";
5173
// synopsys translate_on
5174
 
5175
// Location: LCCOMB_X26_Y4_N8
5176
cycloneiv_lcell_comb \pulseCnt[4]~40 (
5177
// Equation(s):
5178
// \pulseCnt[4]~40_combout  = (pulseCnt[4] & (\pulseCnt[3]~39  $ (GND))) # (!pulseCnt[4] & (!\pulseCnt[3]~39  & VCC))
5179
// \pulseCnt[4]~41  = CARRY((pulseCnt[4] & !\pulseCnt[3]~39 ))
5180
 
5181
        .dataa(gnd),
5182
        .datab(pulseCnt[4]),
5183
        .datac(gnd),
5184
        .datad(vcc),
5185
        .cin(\pulseCnt[3]~39 ),
5186
        .combout(\pulseCnt[4]~40_combout ),
5187
        .cout(\pulseCnt[4]~41 ));
5188
// synopsys translate_off
5189
defparam \pulseCnt[4]~40 .lut_mask = 16'hC30C;
5190
defparam \pulseCnt[4]~40 .sum_lutc_input = "cin";
5191
// synopsys translate_on
5192
 
5193
// Location: FF_X26_Y4_N9
5194
dffeas \pulseCnt[4] (
5195
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5196
        .d(\pulseCnt[4]~40_combout ),
5197
        .asdata(vcc),
5198
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5199
        .aload(gnd),
5200
        .sclr(\bit~0_combout ),
5201
        .sload(gnd),
5202
        .ena(vcc),
5203
        .devclrn(devclrn),
5204
        .devpor(devpor),
5205
        .q(pulseCnt[4]),
5206
        .prn(vcc));
5207
// synopsys translate_off
5208
defparam \pulseCnt[4] .is_wysiwyg = "true";
5209
defparam \pulseCnt[4] .power_up = "low";
5210
// synopsys translate_on
5211
 
5212
// Location: LCCOMB_X26_Y4_N10
5213
cycloneiv_lcell_comb \pulseCnt[5]~42 (
5214
// Equation(s):
5215
// \pulseCnt[5]~42_combout  = (pulseCnt[5] & (!\pulseCnt[4]~41 )) # (!pulseCnt[5] & ((\pulseCnt[4]~41 ) # (GND)))
5216
// \pulseCnt[5]~43  = CARRY((!\pulseCnt[4]~41 ) # (!pulseCnt[5]))
5217
 
5218
        .dataa(pulseCnt[5]),
5219
        .datab(gnd),
5220
        .datac(gnd),
5221
        .datad(vcc),
5222
        .cin(\pulseCnt[4]~41 ),
5223
        .combout(\pulseCnt[5]~42_combout ),
5224
        .cout(\pulseCnt[5]~43 ));
5225
// synopsys translate_off
5226
defparam \pulseCnt[5]~42 .lut_mask = 16'h5A5F;
5227
defparam \pulseCnt[5]~42 .sum_lutc_input = "cin";
5228
// synopsys translate_on
5229
 
5230
// Location: FF_X26_Y4_N11
5231
dffeas \pulseCnt[5] (
5232
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5233
        .d(\pulseCnt[5]~42_combout ),
5234
        .asdata(vcc),
5235
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5236
        .aload(gnd),
5237
        .sclr(\bit~0_combout ),
5238
        .sload(gnd),
5239
        .ena(vcc),
5240
        .devclrn(devclrn),
5241
        .devpor(devpor),
5242
        .q(pulseCnt[5]),
5243
        .prn(vcc));
5244
// synopsys translate_off
5245
defparam \pulseCnt[5] .is_wysiwyg = "true";
5246
defparam \pulseCnt[5] .power_up = "low";
5247
// synopsys translate_on
5248
 
5249
// Location: LCCOMB_X26_Y4_N12
5250
cycloneiv_lcell_comb \pulseCnt[6]~44 (
5251
// Equation(s):
5252
// \pulseCnt[6]~44_combout  = (pulseCnt[6] & (\pulseCnt[5]~43  $ (GND))) # (!pulseCnt[6] & (!\pulseCnt[5]~43  & VCC))
5253
// \pulseCnt[6]~45  = CARRY((pulseCnt[6] & !\pulseCnt[5]~43 ))
5254
 
5255
        .dataa(pulseCnt[6]),
5256
        .datab(gnd),
5257
        .datac(gnd),
5258
        .datad(vcc),
5259
        .cin(\pulseCnt[5]~43 ),
5260
        .combout(\pulseCnt[6]~44_combout ),
5261
        .cout(\pulseCnt[6]~45 ));
5262
// synopsys translate_off
5263
defparam \pulseCnt[6]~44 .lut_mask = 16'hA50A;
5264
defparam \pulseCnt[6]~44 .sum_lutc_input = "cin";
5265
// synopsys translate_on
5266
 
5267
// Location: FF_X26_Y4_N13
5268
dffeas \pulseCnt[6] (
5269
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5270
        .d(\pulseCnt[6]~44_combout ),
5271
        .asdata(vcc),
5272
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5273
        .aload(gnd),
5274
        .sclr(\bit~0_combout ),
5275
        .sload(gnd),
5276
        .ena(vcc),
5277
        .devclrn(devclrn),
5278
        .devpor(devpor),
5279
        .q(pulseCnt[6]),
5280
        .prn(vcc));
5281
// synopsys translate_off
5282
defparam \pulseCnt[6] .is_wysiwyg = "true";
5283
defparam \pulseCnt[6] .power_up = "low";
5284
// synopsys translate_on
5285
 
5286
// Location: LCCOMB_X26_Y4_N14
5287
cycloneiv_lcell_comb \pulseCnt[7]~46 (
5288
// Equation(s):
5289
// \pulseCnt[7]~46_combout  = (pulseCnt[7] & (!\pulseCnt[6]~45 )) # (!pulseCnt[7] & ((\pulseCnt[6]~45 ) # (GND)))
5290
// \pulseCnt[7]~47  = CARRY((!\pulseCnt[6]~45 ) # (!pulseCnt[7]))
5291
 
5292
        .dataa(gnd),
5293
        .datab(pulseCnt[7]),
5294
        .datac(gnd),
5295
        .datad(vcc),
5296
        .cin(\pulseCnt[6]~45 ),
5297
        .combout(\pulseCnt[7]~46_combout ),
5298
        .cout(\pulseCnt[7]~47 ));
5299
// synopsys translate_off
5300
defparam \pulseCnt[7]~46 .lut_mask = 16'h3C3F;
5301
defparam \pulseCnt[7]~46 .sum_lutc_input = "cin";
5302
// synopsys translate_on
5303
 
5304
// Location: FF_X26_Y4_N15
5305
dffeas \pulseCnt[7] (
5306
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5307
        .d(\pulseCnt[7]~46_combout ),
5308
        .asdata(vcc),
5309
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5310
        .aload(gnd),
5311
        .sclr(\bit~0_combout ),
5312
        .sload(gnd),
5313
        .ena(vcc),
5314
        .devclrn(devclrn),
5315
        .devpor(devpor),
5316
        .q(pulseCnt[7]),
5317
        .prn(vcc));
5318
// synopsys translate_off
5319
defparam \pulseCnt[7] .is_wysiwyg = "true";
5320
defparam \pulseCnt[7] .power_up = "low";
5321
// synopsys translate_on
5322
 
5323
// Location: LCCOMB_X26_Y4_N16
5324
cycloneiv_lcell_comb \pulseCnt[8]~48 (
5325
// Equation(s):
5326
// \pulseCnt[8]~48_combout  = (pulseCnt[8] & (\pulseCnt[7]~47  $ (GND))) # (!pulseCnt[8] & (!\pulseCnt[7]~47  & VCC))
5327
// \pulseCnt[8]~49  = CARRY((pulseCnt[8] & !\pulseCnt[7]~47 ))
5328
 
5329
        .dataa(gnd),
5330
        .datab(pulseCnt[8]),
5331
        .datac(gnd),
5332
        .datad(vcc),
5333
        .cin(\pulseCnt[7]~47 ),
5334
        .combout(\pulseCnt[8]~48_combout ),
5335
        .cout(\pulseCnt[8]~49 ));
5336
// synopsys translate_off
5337
defparam \pulseCnt[8]~48 .lut_mask = 16'hC30C;
5338
defparam \pulseCnt[8]~48 .sum_lutc_input = "cin";
5339
// synopsys translate_on
5340
 
5341
// Location: FF_X26_Y4_N17
5342
dffeas \pulseCnt[8] (
5343
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5344
        .d(\pulseCnt[8]~48_combout ),
5345
        .asdata(vcc),
5346
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5347
        .aload(gnd),
5348
        .sclr(\bit~0_combout ),
5349
        .sload(gnd),
5350
        .ena(vcc),
5351
        .devclrn(devclrn),
5352
        .devpor(devpor),
5353
        .q(pulseCnt[8]),
5354
        .prn(vcc));
5355
// synopsys translate_off
5356
defparam \pulseCnt[8] .is_wysiwyg = "true";
5357
defparam \pulseCnt[8] .power_up = "low";
5358
// synopsys translate_on
5359
 
5360
// Location: LCCOMB_X26_Y4_N18
5361
cycloneiv_lcell_comb \pulseCnt[9]~50 (
5362
// Equation(s):
5363
// \pulseCnt[9]~50_combout  = (pulseCnt[9] & (!\pulseCnt[8]~49 )) # (!pulseCnt[9] & ((\pulseCnt[8]~49 ) # (GND)))
5364
// \pulseCnt[9]~51  = CARRY((!\pulseCnt[8]~49 ) # (!pulseCnt[9]))
5365
 
5366
        .dataa(gnd),
5367
        .datab(pulseCnt[9]),
5368
        .datac(gnd),
5369
        .datad(vcc),
5370
        .cin(\pulseCnt[8]~49 ),
5371
        .combout(\pulseCnt[9]~50_combout ),
5372
        .cout(\pulseCnt[9]~51 ));
5373
// synopsys translate_off
5374
defparam \pulseCnt[9]~50 .lut_mask = 16'h3C3F;
5375
defparam \pulseCnt[9]~50 .sum_lutc_input = "cin";
5376
// synopsys translate_on
5377
 
5378
// Location: FF_X26_Y4_N19
5379
dffeas \pulseCnt[9] (
5380
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5381
        .d(\pulseCnt[9]~50_combout ),
5382
        .asdata(vcc),
5383
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5384
        .aload(gnd),
5385
        .sclr(\bit~0_combout ),
5386
        .sload(gnd),
5387
        .ena(vcc),
5388
        .devclrn(devclrn),
5389
        .devpor(devpor),
5390
        .q(pulseCnt[9]),
5391
        .prn(vcc));
5392
// synopsys translate_off
5393
defparam \pulseCnt[9] .is_wysiwyg = "true";
5394
defparam \pulseCnt[9] .power_up = "low";
5395
// synopsys translate_on
5396
 
5397
// Location: LCCOMB_X26_Y4_N20
5398
cycloneiv_lcell_comb \pulseCnt[10]~52 (
5399
// Equation(s):
5400
// \pulseCnt[10]~52_combout  = (pulseCnt[10] & (\pulseCnt[9]~51  $ (GND))) # (!pulseCnt[10] & (!\pulseCnt[9]~51  & VCC))
5401
// \pulseCnt[10]~53  = CARRY((pulseCnt[10] & !\pulseCnt[9]~51 ))
5402
 
5403
        .dataa(gnd),
5404
        .datab(pulseCnt[10]),
5405
        .datac(gnd),
5406
        .datad(vcc),
5407
        .cin(\pulseCnt[9]~51 ),
5408
        .combout(\pulseCnt[10]~52_combout ),
5409
        .cout(\pulseCnt[10]~53 ));
5410
// synopsys translate_off
5411
defparam \pulseCnt[10]~52 .lut_mask = 16'hC30C;
5412
defparam \pulseCnt[10]~52 .sum_lutc_input = "cin";
5413
// synopsys translate_on
5414
 
5415
// Location: FF_X26_Y4_N21
5416
dffeas \pulseCnt[10] (
5417
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5418
        .d(\pulseCnt[10]~52_combout ),
5419
        .asdata(vcc),
5420
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5421
        .aload(gnd),
5422
        .sclr(\bit~0_combout ),
5423
        .sload(gnd),
5424
        .ena(vcc),
5425
        .devclrn(devclrn),
5426
        .devpor(devpor),
5427
        .q(pulseCnt[10]),
5428
        .prn(vcc));
5429
// synopsys translate_off
5430
defparam \pulseCnt[10] .is_wysiwyg = "true";
5431
defparam \pulseCnt[10] .power_up = "low";
5432
// synopsys translate_on
5433
 
5434
// Location: LCCOMB_X26_Y4_N22
5435
cycloneiv_lcell_comb \pulseCnt[11]~54 (
5436
// Equation(s):
5437
// \pulseCnt[11]~54_combout  = (pulseCnt[11] & (!\pulseCnt[10]~53 )) # (!pulseCnt[11] & ((\pulseCnt[10]~53 ) # (GND)))
5438
// \pulseCnt[11]~55  = CARRY((!\pulseCnt[10]~53 ) # (!pulseCnt[11]))
5439
 
5440
        .dataa(pulseCnt[11]),
5441
        .datab(gnd),
5442
        .datac(gnd),
5443
        .datad(vcc),
5444
        .cin(\pulseCnt[10]~53 ),
5445
        .combout(\pulseCnt[11]~54_combout ),
5446
        .cout(\pulseCnt[11]~55 ));
5447
// synopsys translate_off
5448
defparam \pulseCnt[11]~54 .lut_mask = 16'h5A5F;
5449
defparam \pulseCnt[11]~54 .sum_lutc_input = "cin";
5450
// synopsys translate_on
5451
 
5452
// Location: FF_X26_Y4_N23
5453
dffeas \pulseCnt[11] (
5454
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5455
        .d(\pulseCnt[11]~54_combout ),
5456
        .asdata(vcc),
5457
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5458
        .aload(gnd),
5459
        .sclr(\bit~0_combout ),
5460
        .sload(gnd),
5461
        .ena(vcc),
5462
        .devclrn(devclrn),
5463
        .devpor(devpor),
5464
        .q(pulseCnt[11]),
5465
        .prn(vcc));
5466
// synopsys translate_off
5467
defparam \pulseCnt[11] .is_wysiwyg = "true";
5468
defparam \pulseCnt[11] .power_up = "low";
5469
// synopsys translate_on
5470
 
5471
// Location: LCCOMB_X26_Y4_N24
5472
cycloneiv_lcell_comb \pulseCnt[12]~56 (
5473
// Equation(s):
5474
// \pulseCnt[12]~56_combout  = (pulseCnt[12] & (\pulseCnt[11]~55  $ (GND))) # (!pulseCnt[12] & (!\pulseCnt[11]~55  & VCC))
5475
// \pulseCnt[12]~57  = CARRY((pulseCnt[12] & !\pulseCnt[11]~55 ))
5476
 
5477
        .dataa(gnd),
5478
        .datab(pulseCnt[12]),
5479
        .datac(gnd),
5480
        .datad(vcc),
5481
        .cin(\pulseCnt[11]~55 ),
5482
        .combout(\pulseCnt[12]~56_combout ),
5483
        .cout(\pulseCnt[12]~57 ));
5484
// synopsys translate_off
5485
defparam \pulseCnt[12]~56 .lut_mask = 16'hC30C;
5486
defparam \pulseCnt[12]~56 .sum_lutc_input = "cin";
5487
// synopsys translate_on
5488
 
5489
// Location: FF_X26_Y4_N25
5490
dffeas \pulseCnt[12] (
5491
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5492
        .d(\pulseCnt[12]~56_combout ),
5493
        .asdata(vcc),
5494
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5495
        .aload(gnd),
5496
        .sclr(\bit~0_combout ),
5497
        .sload(gnd),
5498
        .ena(vcc),
5499
        .devclrn(devclrn),
5500
        .devpor(devpor),
5501
        .q(pulseCnt[12]),
5502
        .prn(vcc));
5503
// synopsys translate_off
5504
defparam \pulseCnt[12] .is_wysiwyg = "true";
5505
defparam \pulseCnt[12] .power_up = "low";
5506
// synopsys translate_on
5507
 
5508
// Location: LCCOMB_X26_Y4_N26
5509
cycloneiv_lcell_comb \pulseCnt[13]~58 (
5510
// Equation(s):
5511
// \pulseCnt[13]~58_combout  = (pulseCnt[13] & (!\pulseCnt[12]~57 )) # (!pulseCnt[13] & ((\pulseCnt[12]~57 ) # (GND)))
5512
// \pulseCnt[13]~59  = CARRY((!\pulseCnt[12]~57 ) # (!pulseCnt[13]))
5513
 
5514
        .dataa(pulseCnt[13]),
5515
        .datab(gnd),
5516
        .datac(gnd),
5517
        .datad(vcc),
5518
        .cin(\pulseCnt[12]~57 ),
5519
        .combout(\pulseCnt[13]~58_combout ),
5520
        .cout(\pulseCnt[13]~59 ));
5521
// synopsys translate_off
5522
defparam \pulseCnt[13]~58 .lut_mask = 16'h5A5F;
5523
defparam \pulseCnt[13]~58 .sum_lutc_input = "cin";
5524
// synopsys translate_on
5525
 
5526
// Location: FF_X26_Y4_N27
5527
dffeas \pulseCnt[13] (
5528
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5529
        .d(\pulseCnt[13]~58_combout ),
5530
        .asdata(vcc),
5531
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5532
        .aload(gnd),
5533
        .sclr(\bit~0_combout ),
5534
        .sload(gnd),
5535
        .ena(vcc),
5536
        .devclrn(devclrn),
5537
        .devpor(devpor),
5538
        .q(pulseCnt[13]),
5539
        .prn(vcc));
5540
// synopsys translate_off
5541
defparam \pulseCnt[13] .is_wysiwyg = "true";
5542
defparam \pulseCnt[13] .power_up = "low";
5543
// synopsys translate_on
5544
 
5545
// Location: LCCOMB_X26_Y4_N28
5546
cycloneiv_lcell_comb \pulseCnt[14]~60 (
5547
// Equation(s):
5548
// \pulseCnt[14]~60_combout  = (pulseCnt[14] & (\pulseCnt[13]~59  $ (GND))) # (!pulseCnt[14] & (!\pulseCnt[13]~59  & VCC))
5549
// \pulseCnt[14]~61  = CARRY((pulseCnt[14] & !\pulseCnt[13]~59 ))
5550
 
5551
        .dataa(gnd),
5552
        .datab(pulseCnt[14]),
5553
        .datac(gnd),
5554
        .datad(vcc),
5555
        .cin(\pulseCnt[13]~59 ),
5556
        .combout(\pulseCnt[14]~60_combout ),
5557
        .cout(\pulseCnt[14]~61 ));
5558
// synopsys translate_off
5559
defparam \pulseCnt[14]~60 .lut_mask = 16'hC30C;
5560
defparam \pulseCnt[14]~60 .sum_lutc_input = "cin";
5561
// synopsys translate_on
5562
 
5563
// Location: FF_X26_Y4_N29
5564
dffeas \pulseCnt[14] (
5565
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5566
        .d(\pulseCnt[14]~60_combout ),
5567
        .asdata(vcc),
5568
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5569
        .aload(gnd),
5570
        .sclr(\bit~0_combout ),
5571
        .sload(gnd),
5572
        .ena(vcc),
5573
        .devclrn(devclrn),
5574
        .devpor(devpor),
5575
        .q(pulseCnt[14]),
5576
        .prn(vcc));
5577
// synopsys translate_off
5578
defparam \pulseCnt[14] .is_wysiwyg = "true";
5579
defparam \pulseCnt[14] .power_up = "low";
5580
// synopsys translate_on
5581
 
5582
// Location: LCCOMB_X26_Y4_N30
5583
cycloneiv_lcell_comb \pulseCnt[15]~62 (
5584
// Equation(s):
5585
// \pulseCnt[15]~62_combout  = (pulseCnt[15] & (!\pulseCnt[14]~61 )) # (!pulseCnt[15] & ((\pulseCnt[14]~61 ) # (GND)))
5586
// \pulseCnt[15]~63  = CARRY((!\pulseCnt[14]~61 ) # (!pulseCnt[15]))
5587
 
5588
        .dataa(pulseCnt[15]),
5589
        .datab(gnd),
5590
        .datac(gnd),
5591
        .datad(vcc),
5592
        .cin(\pulseCnt[14]~61 ),
5593
        .combout(\pulseCnt[15]~62_combout ),
5594
        .cout(\pulseCnt[15]~63 ));
5595
// synopsys translate_off
5596
defparam \pulseCnt[15]~62 .lut_mask = 16'h5A5F;
5597
defparam \pulseCnt[15]~62 .sum_lutc_input = "cin";
5598
// synopsys translate_on
5599
 
5600
// Location: FF_X26_Y4_N31
5601
dffeas \pulseCnt[15] (
5602
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5603
        .d(\pulseCnt[15]~62_combout ),
5604
        .asdata(vcc),
5605
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5606
        .aload(gnd),
5607
        .sclr(\bit~0_combout ),
5608
        .sload(gnd),
5609
        .ena(vcc),
5610
        .devclrn(devclrn),
5611
        .devpor(devpor),
5612
        .q(pulseCnt[15]),
5613
        .prn(vcc));
5614
// synopsys translate_off
5615
defparam \pulseCnt[15] .is_wysiwyg = "true";
5616
defparam \pulseCnt[15] .power_up = "low";
5617
// synopsys translate_on
5618
 
5619
// Location: LCCOMB_X26_Y3_N0
5620
cycloneiv_lcell_comb \pulseCnt[16]~64 (
5621
// Equation(s):
5622
// \pulseCnt[16]~64_combout  = (pulseCnt[16] & (\pulseCnt[15]~63  $ (GND))) # (!pulseCnt[16] & (!\pulseCnt[15]~63  & VCC))
5623
// \pulseCnt[16]~65  = CARRY((pulseCnt[16] & !\pulseCnt[15]~63 ))
5624
 
5625
        .dataa(gnd),
5626
        .datab(pulseCnt[16]),
5627
        .datac(gnd),
5628
        .datad(vcc),
5629
        .cin(\pulseCnt[15]~63 ),
5630
        .combout(\pulseCnt[16]~64_combout ),
5631
        .cout(\pulseCnt[16]~65 ));
5632
// synopsys translate_off
5633
defparam \pulseCnt[16]~64 .lut_mask = 16'hC30C;
5634
defparam \pulseCnt[16]~64 .sum_lutc_input = "cin";
5635
// synopsys translate_on
5636
 
5637
// Location: FF_X26_Y3_N1
5638
dffeas \pulseCnt[16] (
5639
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5640
        .d(\pulseCnt[16]~64_combout ),
5641
        .asdata(vcc),
5642
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5643
        .aload(gnd),
5644
        .sclr(\bit~0_combout ),
5645
        .sload(gnd),
5646
        .ena(vcc),
5647
        .devclrn(devclrn),
5648
        .devpor(devpor),
5649
        .q(pulseCnt[16]),
5650
        .prn(vcc));
5651
// synopsys translate_off
5652
defparam \pulseCnt[16] .is_wysiwyg = "true";
5653
defparam \pulseCnt[16] .power_up = "low";
5654
// synopsys translate_on
5655
 
5656
// Location: LCCOMB_X26_Y3_N2
5657
cycloneiv_lcell_comb \pulseCnt[17]~66 (
5658
// Equation(s):
5659
// \pulseCnt[17]~66_combout  = (pulseCnt[17] & (!\pulseCnt[16]~65 )) # (!pulseCnt[17] & ((\pulseCnt[16]~65 ) # (GND)))
5660
// \pulseCnt[17]~67  = CARRY((!\pulseCnt[16]~65 ) # (!pulseCnt[17]))
5661
 
5662
        .dataa(gnd),
5663
        .datab(pulseCnt[17]),
5664
        .datac(gnd),
5665
        .datad(vcc),
5666
        .cin(\pulseCnt[16]~65 ),
5667
        .combout(\pulseCnt[17]~66_combout ),
5668
        .cout(\pulseCnt[17]~67 ));
5669
// synopsys translate_off
5670
defparam \pulseCnt[17]~66 .lut_mask = 16'h3C3F;
5671
defparam \pulseCnt[17]~66 .sum_lutc_input = "cin";
5672
// synopsys translate_on
5673
 
5674
// Location: FF_X26_Y3_N3
5675
dffeas \pulseCnt[17] (
5676
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5677
        .d(\pulseCnt[17]~66_combout ),
5678
        .asdata(vcc),
5679
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5680
        .aload(gnd),
5681
        .sclr(\bit~0_combout ),
5682
        .sload(gnd),
5683
        .ena(vcc),
5684
        .devclrn(devclrn),
5685
        .devpor(devpor),
5686
        .q(pulseCnt[17]),
5687
        .prn(vcc));
5688
// synopsys translate_off
5689
defparam \pulseCnt[17] .is_wysiwyg = "true";
5690
defparam \pulseCnt[17] .power_up = "low";
5691
// synopsys translate_on
5692
 
5693
// Location: LCCOMB_X26_Y3_N4
5694
cycloneiv_lcell_comb \pulseCnt[18]~68 (
5695
// Equation(s):
5696
// \pulseCnt[18]~68_combout  = (pulseCnt[18] & (\pulseCnt[17]~67  $ (GND))) # (!pulseCnt[18] & (!\pulseCnt[17]~67  & VCC))
5697
// \pulseCnt[18]~69  = CARRY((pulseCnt[18] & !\pulseCnt[17]~67 ))
5698
 
5699
        .dataa(gnd),
5700
        .datab(pulseCnt[18]),
5701
        .datac(gnd),
5702
        .datad(vcc),
5703
        .cin(\pulseCnt[17]~67 ),
5704
        .combout(\pulseCnt[18]~68_combout ),
5705
        .cout(\pulseCnt[18]~69 ));
5706
// synopsys translate_off
5707
defparam \pulseCnt[18]~68 .lut_mask = 16'hC30C;
5708
defparam \pulseCnt[18]~68 .sum_lutc_input = "cin";
5709
// synopsys translate_on
5710
 
5711
// Location: FF_X26_Y3_N5
5712
dffeas \pulseCnt[18] (
5713
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5714
        .d(\pulseCnt[18]~68_combout ),
5715
        .asdata(vcc),
5716
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5717
        .aload(gnd),
5718
        .sclr(\bit~0_combout ),
5719
        .sload(gnd),
5720
        .ena(vcc),
5721
        .devclrn(devclrn),
5722
        .devpor(devpor),
5723
        .q(pulseCnt[18]),
5724
        .prn(vcc));
5725
// synopsys translate_off
5726
defparam \pulseCnt[18] .is_wysiwyg = "true";
5727
defparam \pulseCnt[18] .power_up = "low";
5728
// synopsys translate_on
5729
 
5730
// Location: FF_X26_Y3_N7
5731
dffeas \pulseCnt[19] (
5732
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5733
        .d(\pulseCnt[19]~70_combout ),
5734
        .asdata(vcc),
5735
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5736
        .aload(gnd),
5737
        .sclr(\bit~0_combout ),
5738
        .sload(gnd),
5739
        .ena(vcc),
5740
        .devclrn(devclrn),
5741
        .devpor(devpor),
5742
        .q(pulseCnt[19]),
5743
        .prn(vcc));
5744
// synopsys translate_off
5745
defparam \pulseCnt[19] .is_wysiwyg = "true";
5746
defparam \pulseCnt[19] .power_up = "low";
5747
// synopsys translate_on
5748
 
5749
// Location: LCCOMB_X25_Y3_N20
5750
cycloneiv_lcell_comb \wb_interface|pulsewidth[18]~feeder (
5751
// Equation(s):
5752
// \wb_interface|pulsewidth[18]~feeder_combout  = \wb_dat_i[18]~input_o
5753
 
5754
        .dataa(gnd),
5755
        .datab(gnd),
5756
        .datac(gnd),
5757
        .datad(\wb_dat_i[18]~input_o ),
5758
        .cin(gnd),
5759
        .combout(\wb_interface|pulsewidth[18]~feeder_combout ),
5760
        .cout());
5761
// synopsys translate_off
5762
defparam \wb_interface|pulsewidth[18]~feeder .lut_mask = 16'hFF00;
5763
defparam \wb_interface|pulsewidth[18]~feeder .sum_lutc_input = "datac";
5764
// synopsys translate_on
5765
 
5766
// Location: FF_X25_Y3_N21
5767
dffeas \wb_interface|pulsewidth[18] (
5768
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5769
        .d(\wb_interface|pulsewidth[18]~feeder_combout ),
5770
        .asdata(vcc),
5771
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5772
        .aload(gnd),
5773
        .sclr(gnd),
5774
        .sload(gnd),
5775
        .ena(\wb_interface|always3~0_combout ),
5776
        .devclrn(devclrn),
5777
        .devpor(devpor),
5778
        .q(\wb_interface|pulsewidth [18]),
5779
        .prn(vcc));
5780
// synopsys translate_off
5781
defparam \wb_interface|pulsewidth[18] .is_wysiwyg = "true";
5782
defparam \wb_interface|pulsewidth[18] .power_up = "low";
5783
// synopsys translate_on
5784
 
5785
// Location: FF_X25_Y3_N5
5786
dffeas \wb_interface|pulsewidth[19] (
5787
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5788
        .d(gnd),
5789
        .asdata(\wb_dat_i[19]~input_o ),
5790
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5791
        .aload(gnd),
5792
        .sclr(gnd),
5793
        .sload(vcc),
5794
        .ena(\wb_interface|always3~0_combout ),
5795
        .devclrn(devclrn),
5796
        .devpor(devpor),
5797
        .q(\wb_interface|pulsewidth [19]),
5798
        .prn(vcc));
5799
// synopsys translate_off
5800
defparam \wb_interface|pulsewidth[19] .is_wysiwyg = "true";
5801
defparam \wb_interface|pulsewidth[19] .power_up = "low";
5802
// synopsys translate_on
5803
 
5804
// Location: LCCOMB_X25_Y3_N30
5805
cycloneiv_lcell_comb \Equal3~11 (
5806
// Equation(s):
5807
// \Equal3~11_combout  = (pulseCnt[19] & (\wb_interface|pulsewidth [19] & (\wb_interface|pulsewidth [18] $ (!pulseCnt[18])))) # (!pulseCnt[19] & (!\wb_interface|pulsewidth [19] & (\wb_interface|pulsewidth [18] $ (!pulseCnt[18]))))
5808
 
5809
        .dataa(pulseCnt[19]),
5810
        .datab(\wb_interface|pulsewidth [18]),
5811
        .datac(\wb_interface|pulsewidth [19]),
5812
        .datad(pulseCnt[18]),
5813
        .cin(gnd),
5814
        .combout(\Equal3~11_combout ),
5815
        .cout());
5816
// synopsys translate_off
5817
defparam \Equal3~11 .lut_mask = 16'h8421;
5818
defparam \Equal3~11 .sum_lutc_input = "datac";
5819
// synopsys translate_on
5820
 
5821
// Location: FF_X27_Y3_N1
5822
dffeas \wb_interface|pulsewidth[17] (
5823
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5824
        .d(gnd),
5825
        .asdata(\wb_dat_i[17]~input_o ),
5826
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5827
        .aload(gnd),
5828
        .sclr(gnd),
5829
        .sload(vcc),
5830
        .ena(\wb_interface|always3~0_combout ),
5831
        .devclrn(devclrn),
5832
        .devpor(devpor),
5833
        .q(\wb_interface|pulsewidth [17]),
5834
        .prn(vcc));
5835
// synopsys translate_off
5836
defparam \wb_interface|pulsewidth[17] .is_wysiwyg = "true";
5837
defparam \wb_interface|pulsewidth[17] .power_up = "low";
5838
// synopsys translate_on
5839
 
5840
// Location: FF_X27_Y3_N21
5841
dffeas \wb_interface|pulsewidth[16] (
5842
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5843
        .d(gnd),
5844
        .asdata(\wb_dat_i[16]~input_o ),
5845
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5846
        .aload(gnd),
5847
        .sclr(gnd),
5848
        .sload(vcc),
5849
        .ena(\wb_interface|always3~0_combout ),
5850
        .devclrn(devclrn),
5851
        .devpor(devpor),
5852
        .q(\wb_interface|pulsewidth [16]),
5853
        .prn(vcc));
5854
// synopsys translate_off
5855
defparam \wb_interface|pulsewidth[16] .is_wysiwyg = "true";
5856
defparam \wb_interface|pulsewidth[16] .power_up = "low";
5857
// synopsys translate_on
5858
 
5859
// Location: LCCOMB_X27_Y3_N20
5860
cycloneiv_lcell_comb \Equal3~10 (
5861
// Equation(s):
5862
// \Equal3~10_combout  = (pulseCnt[16] & (\wb_interface|pulsewidth [16] & (\wb_interface|pulsewidth [17] $ (!pulseCnt[17])))) # (!pulseCnt[16] & (!\wb_interface|pulsewidth [16] & (\wb_interface|pulsewidth [17] $ (!pulseCnt[17]))))
5863
 
5864
        .dataa(pulseCnt[16]),
5865
        .datab(\wb_interface|pulsewidth [17]),
5866
        .datac(\wb_interface|pulsewidth [16]),
5867
        .datad(pulseCnt[17]),
5868
        .cin(gnd),
5869
        .combout(\Equal3~10_combout ),
5870
        .cout());
5871
// synopsys translate_off
5872
defparam \Equal3~10 .lut_mask = 16'h8421;
5873
defparam \Equal3~10 .sum_lutc_input = "datac";
5874
// synopsys translate_on
5875
 
5876
// Location: LCCOMB_X25_Y3_N0
5877
cycloneiv_lcell_comb \wb_interface|pulsewidth[20]~feeder (
5878
// Equation(s):
5879
// \wb_interface|pulsewidth[20]~feeder_combout  = \wb_dat_i[20]~input_o
5880
 
5881
        .dataa(gnd),
5882
        .datab(gnd),
5883
        .datac(gnd),
5884
        .datad(\wb_dat_i[20]~input_o ),
5885
        .cin(gnd),
5886
        .combout(\wb_interface|pulsewidth[20]~feeder_combout ),
5887
        .cout());
5888
// synopsys translate_off
5889
defparam \wb_interface|pulsewidth[20]~feeder .lut_mask = 16'hFF00;
5890
defparam \wb_interface|pulsewidth[20]~feeder .sum_lutc_input = "datac";
5891
// synopsys translate_on
5892
 
5893
// Location: FF_X25_Y3_N1
5894
dffeas \wb_interface|pulsewidth[20] (
5895
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5896
        .d(\wb_interface|pulsewidth[20]~feeder_combout ),
5897
        .asdata(vcc),
5898
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5899
        .aload(gnd),
5900
        .sclr(gnd),
5901
        .sload(gnd),
5902
        .ena(\wb_interface|always3~0_combout ),
5903
        .devclrn(devclrn),
5904
        .devpor(devpor),
5905
        .q(\wb_interface|pulsewidth [20]),
5906
        .prn(vcc));
5907
// synopsys translate_off
5908
defparam \wb_interface|pulsewidth[20] .is_wysiwyg = "true";
5909
defparam \wb_interface|pulsewidth[20] .power_up = "low";
5910
// synopsys translate_on
5911
 
5912
// Location: FF_X25_Y3_N9
5913
dffeas \wb_interface|pulsewidth[21] (
5914
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5915
        .d(gnd),
5916
        .asdata(\wb_dat_i[21]~input_o ),
5917
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5918
        .aload(gnd),
5919
        .sclr(gnd),
5920
        .sload(vcc),
5921
        .ena(\wb_interface|always3~0_combout ),
5922
        .devclrn(devclrn),
5923
        .devpor(devpor),
5924
        .q(\wb_interface|pulsewidth [21]),
5925
        .prn(vcc));
5926
// synopsys translate_off
5927
defparam \wb_interface|pulsewidth[21] .is_wysiwyg = "true";
5928
defparam \wb_interface|pulsewidth[21] .power_up = "low";
5929
// synopsys translate_on
5930
 
5931
// Location: LCCOMB_X25_Y3_N28
5932
cycloneiv_lcell_comb \Equal3~12 (
5933
// Equation(s):
5934
// \Equal3~12_combout  = (\wb_interface|pulsewidth [20] & (pulseCnt[20] & (pulseCnt[21] $ (!\wb_interface|pulsewidth [21])))) # (!\wb_interface|pulsewidth [20] & (!pulseCnt[20] & (pulseCnt[21] $ (!\wb_interface|pulsewidth [21]))))
5935
 
5936
        .dataa(\wb_interface|pulsewidth [20]),
5937
        .datab(pulseCnt[20]),
5938
        .datac(pulseCnt[21]),
5939
        .datad(\wb_interface|pulsewidth [21]),
5940
        .cin(gnd),
5941
        .combout(\Equal3~12_combout ),
5942
        .cout());
5943
// synopsys translate_off
5944
defparam \Equal3~12 .lut_mask = 16'h9009;
5945
defparam \Equal3~12 .sum_lutc_input = "datac";
5946
// synopsys translate_on
5947
 
5948
// Location: LCCOMB_X25_Y3_N6
5949
cycloneiv_lcell_comb \wb_interface|pulsewidth[22]~feeder (
5950
// Equation(s):
5951
// \wb_interface|pulsewidth[22]~feeder_combout  = \wb_dat_i[22]~input_o
5952
 
5953
        .dataa(gnd),
5954
        .datab(gnd),
5955
        .datac(gnd),
5956
        .datad(\wb_dat_i[22]~input_o ),
5957
        .cin(gnd),
5958
        .combout(\wb_interface|pulsewidth[22]~feeder_combout ),
5959
        .cout());
5960
// synopsys translate_off
5961
defparam \wb_interface|pulsewidth[22]~feeder .lut_mask = 16'hFF00;
5962
defparam \wb_interface|pulsewidth[22]~feeder .sum_lutc_input = "datac";
5963
// synopsys translate_on
5964
 
5965
// Location: FF_X25_Y3_N7
5966
dffeas \wb_interface|pulsewidth[22] (
5967
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5968
        .d(\wb_interface|pulsewidth[22]~feeder_combout ),
5969
        .asdata(vcc),
5970
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5971
        .aload(gnd),
5972
        .sclr(gnd),
5973
        .sload(gnd),
5974
        .ena(\wb_interface|always3~0_combout ),
5975
        .devclrn(devclrn),
5976
        .devpor(devpor),
5977
        .q(\wb_interface|pulsewidth [22]),
5978
        .prn(vcc));
5979
// synopsys translate_off
5980
defparam \wb_interface|pulsewidth[22] .is_wysiwyg = "true";
5981
defparam \wb_interface|pulsewidth[22] .power_up = "low";
5982
// synopsys translate_on
5983
 
5984
// Location: FF_X25_Y3_N25
5985
dffeas \wb_interface|pulsewidth[23] (
5986
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
5987
        .d(gnd),
5988
        .asdata(\wb_dat_i[23]~input_o ),
5989
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
5990
        .aload(gnd),
5991
        .sclr(gnd),
5992
        .sload(vcc),
5993
        .ena(\wb_interface|always3~0_combout ),
5994
        .devclrn(devclrn),
5995
        .devpor(devpor),
5996
        .q(\wb_interface|pulsewidth [23]),
5997
        .prn(vcc));
5998
// synopsys translate_off
5999
defparam \wb_interface|pulsewidth[23] .is_wysiwyg = "true";
6000
defparam \wb_interface|pulsewidth[23] .power_up = "low";
6001
// synopsys translate_on
6002
 
6003
// Location: LCCOMB_X25_Y3_N14
6004
cycloneiv_lcell_comb \Equal3~13 (
6005
// Equation(s):
6006
// \Equal3~13_combout  = (\wb_interface|pulsewidth [22] & (pulseCnt[22] & (pulseCnt[23] $ (!\wb_interface|pulsewidth [23])))) # (!\wb_interface|pulsewidth [22] & (!pulseCnt[22] & (pulseCnt[23] $ (!\wb_interface|pulsewidth [23]))))
6007
 
6008
        .dataa(\wb_interface|pulsewidth [22]),
6009
        .datab(pulseCnt[22]),
6010
        .datac(pulseCnt[23]),
6011
        .datad(\wb_interface|pulsewidth [23]),
6012
        .cin(gnd),
6013
        .combout(\Equal3~13_combout ),
6014
        .cout());
6015
// synopsys translate_off
6016
defparam \Equal3~13 .lut_mask = 16'h9009;
6017
defparam \Equal3~13 .sum_lutc_input = "datac";
6018
// synopsys translate_on
6019
 
6020
// Location: LCCOMB_X28_Y3_N30
6021
cycloneiv_lcell_comb \Equal3~14 (
6022
// Equation(s):
6023
// \Equal3~14_combout  = (\Equal3~11_combout  & (\Equal3~10_combout  & (\Equal3~12_combout  & \Equal3~13_combout )))
6024
 
6025
        .dataa(\Equal3~11_combout ),
6026
        .datab(\Equal3~10_combout ),
6027
        .datac(\Equal3~12_combout ),
6028
        .datad(\Equal3~13_combout ),
6029
        .cin(gnd),
6030
        .combout(\Equal3~14_combout ),
6031
        .cout());
6032
// synopsys translate_off
6033
defparam \Equal3~14 .lut_mask = 16'h8000;
6034
defparam \Equal3~14 .sum_lutc_input = "datac";
6035
// synopsys translate_on
6036
 
6037
// Location: LCCOMB_X28_Y3_N18
6038
cycloneiv_lcell_comb \next_state.110~0 (
6039
// Equation(s):
6040
// \next_state.110~0_combout  = (\state.111~q  & \Equal3~9_combout )
6041
 
6042
        .dataa(gnd),
6043
        .datab(gnd),
6044
        .datac(\state.111~q ),
6045
        .datad(\Equal3~9_combout ),
6046
        .cin(gnd),
6047
        .combout(\next_state.110~0_combout ),
6048
        .cout());
6049
// synopsys translate_off
6050
defparam \next_state.110~0 .lut_mask = 16'hF000;
6051
defparam \next_state.110~0 .sum_lutc_input = "datac";
6052
// synopsys translate_on
6053
 
6054
// Location: LCCOMB_X28_Y3_N4
6055
cycloneiv_lcell_comb \next_state.110~1 (
6056
// Equation(s):
6057
// \next_state.110~1_combout  = (\Equal3~14_combout  & (\Equal3~19_combout  & (\Equal3~4_combout  & \next_state.110~0_combout )))
6058
 
6059
        .dataa(\Equal3~14_combout ),
6060
        .datab(\Equal3~19_combout ),
6061
        .datac(\Equal3~4_combout ),
6062
        .datad(\next_state.110~0_combout ),
6063
        .cin(gnd),
6064
        .combout(\next_state.110~1_combout ),
6065
        .cout());
6066
// synopsys translate_off
6067
defparam \next_state.110~1 .lut_mask = 16'h8000;
6068
defparam \next_state.110~1 .sum_lutc_input = "datac";
6069
// synopsys translate_on
6070
 
6071
// Location: FF_X28_Y3_N5
6072
dffeas \state.110 (
6073
        .clk(\wb_clk_i~inputclkctrl_outclk ),
6074
        .d(\next_state.110~1_combout ),
6075
        .asdata(vcc),
6076
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6077
        .aload(gnd),
6078
        .sclr(gnd),
6079
        .sload(gnd),
6080
        .ena(vcc),
6081
        .devclrn(devclrn),
6082
        .devpor(devpor),
6083
        .q(\state.110~q ),
6084
        .prn(vcc));
6085
// synopsys translate_off
6086
defparam \state.110 .is_wysiwyg = "true";
6087
defparam \state.110 .power_up = "low";
6088
// synopsys translate_on
6089
 
6090
// Location: FF_X31_Y2_N5
6091
dffeas \bitCountReg[0] (
6092
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
6093
        .d(gnd),
6094
        .asdata(\bitCountReg[0]~8_combout ),
6095
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6096
        .aload(gnd),
6097
        .sclr(!\state.110~q ),
6098
        .sload(vcc),
6099
        .ena(\bitCountReg[3]~7_combout ),
6100
        .devclrn(devclrn),
6101
        .devpor(devpor),
6102
        .q(bitCountReg[0]),
6103
        .prn(vcc));
6104
// synopsys translate_off
6105
defparam \bitCountReg[0] .is_wysiwyg = "true";
6106
defparam \bitCountReg[0] .power_up = "low";
6107
// synopsys translate_on
6108
 
6109
// Location: FF_X30_Y2_N21
6110
dffeas \bitCountReg[1] (
6111
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
6112
        .d(\bitCountReg[1]~10_combout ),
6113
        .asdata(vcc),
6114
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6115
        .aload(gnd),
6116
        .sclr(!\state.110~q ),
6117
        .sload(gnd),
6118
        .ena(\bitCountReg[3]~7_combout ),
6119
        .devclrn(devclrn),
6120
        .devpor(devpor),
6121
        .q(bitCountReg[1]),
6122
        .prn(vcc));
6123
// synopsys translate_off
6124
defparam \bitCountReg[1] .is_wysiwyg = "true";
6125
defparam \bitCountReg[1] .power_up = "low";
6126
// synopsys translate_on
6127
 
6128
// Location: LCCOMB_X31_Y2_N16
6129
cycloneiv_lcell_comb \Equal0~0 (
6130
// Equation(s):
6131
// \Equal0~0_combout  = (!bitCountReg[1] & (!bitCountReg[3] & (!bitCountReg[0] & !bitCountReg[2])))
6132
 
6133
        .dataa(bitCountReg[1]),
6134
        .datab(bitCountReg[3]),
6135
        .datac(bitCountReg[0]),
6136
        .datad(bitCountReg[2]),
6137
        .cin(gnd),
6138
        .combout(\Equal0~0_combout ),
6139
        .cout());
6140
// synopsys translate_off
6141
defparam \Equal0~0 .lut_mask = 16'h0001;
6142
defparam \Equal0~0 .sum_lutc_input = "datac";
6143
// synopsys translate_on
6144
 
6145
// Location: LCCOMB_X29_Y2_N28
6146
cycloneiv_lcell_comb \Selector1~0 (
6147
// Equation(s):
6148
// \Selector1~0_combout  = (\state.101~q  & (bitCountReg[5] & (!bitCountReg[6] & !bitCountReg[4])))
6149
 
6150
        .dataa(\state.101~q ),
6151
        .datab(bitCountReg[5]),
6152
        .datac(bitCountReg[6]),
6153
        .datad(bitCountReg[4]),
6154
        .cin(gnd),
6155
        .combout(\Selector1~0_combout ),
6156
        .cout());
6157
// synopsys translate_off
6158
defparam \Selector1~0 .lut_mask = 16'h0008;
6159
defparam \Selector1~0 .sum_lutc_input = "datac";
6160
// synopsys translate_on
6161
 
6162
// Location: LCCOMB_X29_Y2_N0
6163
cycloneiv_lcell_comb \Selector1~1 (
6164
// Equation(s):
6165
// \Selector1~1_combout  = (\Equal0~0_combout  & ((\Selector1~0_combout ) # ((!\state.000~q  & \wb_interface|size [7])))) # (!\Equal0~0_combout  & (!\state.000~q  & (\wb_interface|size [7])))
6166
 
6167
        .dataa(\Equal0~0_combout ),
6168
        .datab(\state.000~q ),
6169
        .datac(\wb_interface|size [7]),
6170
        .datad(\Selector1~0_combout ),
6171
        .cin(gnd),
6172
        .combout(\Selector1~1_combout ),
6173
        .cout());
6174
// synopsys translate_off
6175
defparam \Selector1~1 .lut_mask = 16'hBA30;
6176
defparam \Selector1~1 .sum_lutc_input = "datac";
6177
// synopsys translate_on
6178
 
6179
// Location: FF_X29_Y2_N1
6180
dffeas \state.001 (
6181
        .clk(\wb_clk_i~inputclkctrl_outclk ),
6182
        .d(\Selector1~1_combout ),
6183
        .asdata(vcc),
6184
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6185
        .aload(gnd),
6186
        .sclr(gnd),
6187
        .sload(gnd),
6188
        .ena(vcc),
6189
        .devclrn(devclrn),
6190
        .devpor(devpor),
6191
        .q(\state.001~q ),
6192
        .prn(vcc));
6193
// synopsys translate_off
6194
defparam \state.001 .is_wysiwyg = "true";
6195
defparam \state.001 .power_up = "low";
6196
// synopsys translate_on
6197
 
6198
// Location: LCCOMB_X28_Y4_N28
6199
cycloneiv_lcell_comb \lock_cfg~0 (
6200
// Equation(s):
6201
// \lock_cfg~0_combout  = (\state.001~q ) # ((\state.110~q ) # ((\state.101~q ) # (!\bit~0_combout )))
6202
 
6203
        .dataa(\state.001~q ),
6204
        .datab(\state.110~q ),
6205
        .datac(\bit~0_combout ),
6206
        .datad(\state.101~q ),
6207
        .cin(gnd),
6208
        .combout(\lock_cfg~0_combout ),
6209
        .cout());
6210
// synopsys translate_off
6211
defparam \lock_cfg~0 .lut_mask = 16'hFFEF;
6212
defparam \lock_cfg~0 .sum_lutc_input = "datac";
6213
// synopsys translate_on
6214
 
6215
// Location: FF_X28_Y4_N29
6216
dffeas lock_cfg(
6217
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
6218
        .d(\lock_cfg~0_combout ),
6219
        .asdata(vcc),
6220
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6221
        .aload(gnd),
6222
        .sclr(gnd),
6223
        .sload(gnd),
6224
        .ena(vcc),
6225
        .devclrn(devclrn),
6226
        .devpor(devpor),
6227
        .q(\lock_cfg~q ),
6228
        .prn(vcc));
6229
// synopsys translate_off
6230
defparam lock_cfg.is_wysiwyg = "true";
6231
defparam lock_cfg.power_up = "low";
6232
// synopsys translate_on
6233
 
6234
// Location: LCCOMB_X28_Y4_N0
6235
cycloneiv_lcell_comb \wb_interface|always5~0 (
6236
// Equation(s):
6237
// \wb_interface|always5~0_combout  = (\wb_interface|Equal1~0_combout  & (!\lock_cfg~q  & (\wb_interface|wb_dat_o~1_combout  & \wb_we_i~input_o )))
6238
 
6239
        .dataa(\wb_interface|Equal1~0_combout ),
6240
        .datab(\lock_cfg~q ),
6241
        .datac(\wb_interface|wb_dat_o~1_combout ),
6242
        .datad(\wb_we_i~input_o ),
6243
        .cin(gnd),
6244
        .combout(\wb_interface|always5~0_combout ),
6245
        .cout());
6246
// synopsys translate_off
6247
defparam \wb_interface|always5~0 .lut_mask = 16'h2000;
6248
defparam \wb_interface|always5~0 .sum_lutc_input = "datac";
6249
// synopsys translate_on
6250
 
6251
// Location: FF_X29_Y2_N9
6252
dffeas \wb_interface|size[0] (
6253
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
6254
        .d(gnd),
6255
        .asdata(\wb_dat_i[0]~input_o ),
6256
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6257
        .aload(gnd),
6258
        .sclr(gnd),
6259
        .sload(vcc),
6260
        .ena(\wb_interface|always5~0_combout ),
6261
        .devclrn(devclrn),
6262
        .devpor(devpor),
6263
        .q(\wb_interface|size [0]),
6264
        .prn(vcc));
6265
// synopsys translate_off
6266
defparam \wb_interface|size[0] .is_wysiwyg = "true";
6267
defparam \wb_interface|size[0] .power_up = "low";
6268
// synopsys translate_on
6269
 
6270
// Location: LCCOMB_X27_Y1_N12
6271
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[0]~0 (
6272
// Equation(s):
6273
// \wb_interface|wb_dat_rdbk[0]~0_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [0])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [0])))))
6274
 
6275
        .dataa(\wb_interface|Equal1~0_combout ),
6276
        .datab(\wb_interface|p2p [0]),
6277
        .datac(\wb_interface|pulsewidth [0]),
6278
        .datad(\wb_interface|Equal2~1_combout ),
6279
        .cin(gnd),
6280
        .combout(\wb_interface|wb_dat_rdbk[0]~0_combout ),
6281
        .cout());
6282
// synopsys translate_off
6283
defparam \wb_interface|wb_dat_rdbk[0]~0 .lut_mask = 16'h4450;
6284
defparam \wb_interface|wb_dat_rdbk[0]~0 .sum_lutc_input = "datac";
6285
// synopsys translate_on
6286
 
6287
// Location: LCCOMB_X27_Y1_N22
6288
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[0]~1 (
6289
// Equation(s):
6290
// \wb_interface|wb_dat_rdbk[0]~1_combout  = (\wb_interface|wb_dat_rdbk[0]~0_combout ) # ((\wb_interface|Equal1~0_combout  & \wb_interface|size [0]))
6291
 
6292
        .dataa(\wb_interface|Equal1~0_combout ),
6293
        .datab(\wb_interface|size [0]),
6294
        .datac(gnd),
6295
        .datad(\wb_interface|wb_dat_rdbk[0]~0_combout ),
6296
        .cin(gnd),
6297
        .combout(\wb_interface|wb_dat_rdbk[0]~1_combout ),
6298
        .cout());
6299
// synopsys translate_off
6300
defparam \wb_interface|wb_dat_rdbk[0]~1 .lut_mask = 16'hFF88;
6301
defparam \wb_interface|wb_dat_rdbk[0]~1 .sum_lutc_input = "datac";
6302
// synopsys translate_on
6303
 
6304
// Location: LCCOMB_X31_Y3_N20
6305
cycloneiv_lcell_comb \wb_interface|wb_dat_o~0 (
6306
// Equation(s):
6307
// \wb_interface|wb_dat_o~0_combout  = (\wb_stb_i~input_o  & (!\wb_we_i~input_o  & \wb_cyc_i~input_o ))
6308
 
6309
        .dataa(gnd),
6310
        .datab(\wb_stb_i~input_o ),
6311
        .datac(\wb_we_i~input_o ),
6312
        .datad(\wb_cyc_i~input_o ),
6313
        .cin(gnd),
6314
        .combout(\wb_interface|wb_dat_o~0_combout ),
6315
        .cout());
6316
// synopsys translate_off
6317
defparam \wb_interface|wb_dat_o~0 .lut_mask = 16'h0C00;
6318
defparam \wb_interface|wb_dat_o~0 .sum_lutc_input = "datac";
6319
// synopsys translate_on
6320
 
6321
// Location: LCCOMB_X29_Y3_N26
6322
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[1]~2 (
6323
// Equation(s):
6324
// \wb_interface|wb_dat_rdbk[1]~2_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [1]))) # (!\wb_interface|Equal2~1_combout  & (!\wb_interface|pulsewidth [1]))))
6325
 
6326
        .dataa(\wb_interface|Equal1~0_combout ),
6327
        .datab(\wb_interface|pulsewidth [1]),
6328
        .datac(\wb_interface|p2p [1]),
6329
        .datad(\wb_interface|Equal2~1_combout ),
6330
        .cin(gnd),
6331
        .combout(\wb_interface|wb_dat_rdbk[1]~2_combout ),
6332
        .cout());
6333
// synopsys translate_off
6334
defparam \wb_interface|wb_dat_rdbk[1]~2 .lut_mask = 16'h5011;
6335
defparam \wb_interface|wb_dat_rdbk[1]~2 .sum_lutc_input = "datac";
6336
// synopsys translate_on
6337
 
6338
// Location: LCCOMB_X29_Y2_N24
6339
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[1]~3 (
6340
// Equation(s):
6341
// \wb_interface|wb_dat_rdbk[1]~3_combout  = (\wb_interface|wb_dat_rdbk[1]~2_combout ) # ((\wb_interface|size [1] & \wb_interface|Equal1~0_combout ))
6342
 
6343
        .dataa(\wb_interface|wb_dat_rdbk[1]~2_combout ),
6344
        .datab(\wb_interface|size [1]),
6345
        .datac(gnd),
6346
        .datad(\wb_interface|Equal1~0_combout ),
6347
        .cin(gnd),
6348
        .combout(\wb_interface|wb_dat_rdbk[1]~3_combout ),
6349
        .cout());
6350
// synopsys translate_off
6351
defparam \wb_interface|wb_dat_rdbk[1]~3 .lut_mask = 16'hEEAA;
6352
defparam \wb_interface|wb_dat_rdbk[1]~3 .sum_lutc_input = "datac";
6353
// synopsys translate_on
6354
 
6355
// Location: LCCOMB_X29_Y3_N10
6356
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[2]~4 (
6357
// Equation(s):
6358
// \wb_interface|wb_dat_rdbk[2]~4_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [2])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [2])))))
6359
 
6360
        .dataa(\wb_interface|Equal1~0_combout ),
6361
        .datab(\wb_interface|p2p [2]),
6362
        .datac(\wb_interface|pulsewidth [2]),
6363
        .datad(\wb_interface|Equal2~1_combout ),
6364
        .cin(gnd),
6365
        .combout(\wb_interface|wb_dat_rdbk[2]~4_combout ),
6366
        .cout());
6367
// synopsys translate_off
6368
defparam \wb_interface|wb_dat_rdbk[2]~4 .lut_mask = 16'h4450;
6369
defparam \wb_interface|wb_dat_rdbk[2]~4 .sum_lutc_input = "datac";
6370
// synopsys translate_on
6371
 
6372
// Location: LCCOMB_X29_Y3_N8
6373
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[2]~5 (
6374
// Equation(s):
6375
// \wb_interface|wb_dat_rdbk[2]~5_combout  = (\wb_interface|wb_dat_rdbk[2]~4_combout ) # ((\wb_interface|Equal1~0_combout  & \wb_interface|size [2]))
6376
 
6377
        .dataa(\wb_interface|Equal1~0_combout ),
6378
        .datab(\wb_interface|size [2]),
6379
        .datac(gnd),
6380
        .datad(\wb_interface|wb_dat_rdbk[2]~4_combout ),
6381
        .cin(gnd),
6382
        .combout(\wb_interface|wb_dat_rdbk[2]~5_combout ),
6383
        .cout());
6384
// synopsys translate_off
6385
defparam \wb_interface|wb_dat_rdbk[2]~5 .lut_mask = 16'hFF88;
6386
defparam \wb_interface|wb_dat_rdbk[2]~5 .sum_lutc_input = "datac";
6387
// synopsys translate_on
6388
 
6389
// Location: LCCOMB_X29_Y3_N18
6390
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[3]~6 (
6391
// Equation(s):
6392
// \wb_interface|wb_dat_rdbk[3]~6_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [3]))) # (!\wb_interface|Equal2~1_combout  & (!\wb_interface|pulsewidth [3]))))
6393
 
6394
        .dataa(\wb_interface|Equal1~0_combout ),
6395
        .datab(\wb_interface|pulsewidth [3]),
6396
        .datac(\wb_interface|p2p [3]),
6397
        .datad(\wb_interface|Equal2~1_combout ),
6398
        .cin(gnd),
6399
        .combout(\wb_interface|wb_dat_rdbk[3]~6_combout ),
6400
        .cout());
6401
// synopsys translate_off
6402
defparam \wb_interface|wb_dat_rdbk[3]~6 .lut_mask = 16'h5011;
6403
defparam \wb_interface|wb_dat_rdbk[3]~6 .sum_lutc_input = "datac";
6404
// synopsys translate_on
6405
 
6406
// Location: LCCOMB_X29_Y2_N18
6407
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[3]~7 (
6408
// Equation(s):
6409
// \wb_interface|wb_dat_rdbk[3]~7_combout  = (\wb_interface|wb_dat_rdbk[3]~6_combout ) # ((\wb_interface|Equal1~0_combout  & \wb_interface|size [3]))
6410
 
6411
        .dataa(\wb_interface|Equal1~0_combout ),
6412
        .datab(\wb_interface|wb_dat_rdbk[3]~6_combout ),
6413
        .datac(gnd),
6414
        .datad(\wb_interface|size [3]),
6415
        .cin(gnd),
6416
        .combout(\wb_interface|wb_dat_rdbk[3]~7_combout ),
6417
        .cout());
6418
// synopsys translate_off
6419
defparam \wb_interface|wb_dat_rdbk[3]~7 .lut_mask = 16'hEECC;
6420
defparam \wb_interface|wb_dat_rdbk[3]~7 .sum_lutc_input = "datac";
6421
// synopsys translate_on
6422
 
6423
// Location: LCCOMB_X27_Y4_N8
6424
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[4]~8 (
6425
// Equation(s):
6426
// \wb_interface|wb_dat_rdbk[4]~8_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [4]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [4]))))
6427
 
6428
        .dataa(\wb_interface|pulsewidth [4]),
6429
        .datab(\wb_interface|Equal1~0_combout ),
6430
        .datac(\wb_interface|p2p [4]),
6431
        .datad(\wb_interface|Equal2~1_combout ),
6432
        .cin(gnd),
6433
        .combout(\wb_interface|wb_dat_rdbk[4]~8_combout ),
6434
        .cout());
6435
// synopsys translate_off
6436
defparam \wb_interface|wb_dat_rdbk[4]~8 .lut_mask = 16'h3022;
6437
defparam \wb_interface|wb_dat_rdbk[4]~8 .sum_lutc_input = "datac";
6438
// synopsys translate_on
6439
 
6440
// Location: LCCOMB_X29_Y2_N10
6441
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[4]~9 (
6442
// Equation(s):
6443
// \wb_interface|wb_dat_rdbk[4]~9_combout  = (\wb_interface|wb_dat_rdbk[4]~8_combout ) # ((\wb_interface|Equal1~0_combout  & \wb_interface|size [4]))
6444
 
6445
        .dataa(\wb_interface|Equal1~0_combout ),
6446
        .datab(gnd),
6447
        .datac(\wb_interface|size [4]),
6448
        .datad(\wb_interface|wb_dat_rdbk[4]~8_combout ),
6449
        .cin(gnd),
6450
        .combout(\wb_interface|wb_dat_rdbk[4]~9_combout ),
6451
        .cout());
6452
// synopsys translate_off
6453
defparam \wb_interface|wb_dat_rdbk[4]~9 .lut_mask = 16'hFFA0;
6454
defparam \wb_interface|wb_dat_rdbk[4]~9 .sum_lutc_input = "datac";
6455
// synopsys translate_on
6456
 
6457
// Location: LCCOMB_X27_Y4_N2
6458
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[5]~10 (
6459
// Equation(s):
6460
// \wb_interface|wb_dat_rdbk[5]~10_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [5]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [5]))))
6461
 
6462
        .dataa(\wb_interface|Equal2~1_combout ),
6463
        .datab(\wb_interface|Equal1~0_combout ),
6464
        .datac(\wb_interface|pulsewidth [5]),
6465
        .datad(\wb_interface|p2p [5]),
6466
        .cin(gnd),
6467
        .combout(\wb_interface|wb_dat_rdbk[5]~10_combout ),
6468
        .cout());
6469
// synopsys translate_off
6470
defparam \wb_interface|wb_dat_rdbk[5]~10 .lut_mask = 16'h3210;
6471
defparam \wb_interface|wb_dat_rdbk[5]~10 .sum_lutc_input = "datac";
6472
// synopsys translate_on
6473
 
6474
// Location: LCCOMB_X29_Y2_N20
6475
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[5]~11 (
6476
// Equation(s):
6477
// \wb_interface|wb_dat_rdbk[5]~11_combout  = (\wb_interface|wb_dat_rdbk[5]~10_combout ) # ((\wb_interface|size [5] & \wb_interface|Equal1~0_combout ))
6478
 
6479
        .dataa(\wb_interface|wb_dat_rdbk[5]~10_combout ),
6480
        .datab(\wb_interface|size [5]),
6481
        .datac(gnd),
6482
        .datad(\wb_interface|Equal1~0_combout ),
6483
        .cin(gnd),
6484
        .combout(\wb_interface|wb_dat_rdbk[5]~11_combout ),
6485
        .cout());
6486
// synopsys translate_off
6487
defparam \wb_interface|wb_dat_rdbk[5]~11 .lut_mask = 16'hEEAA;
6488
defparam \wb_interface|wb_dat_rdbk[5]~11 .sum_lutc_input = "datac";
6489
// synopsys translate_on
6490
 
6491
// Location: LCCOMB_X27_Y4_N24
6492
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[6]~12 (
6493
// Equation(s):
6494
// \wb_interface|wb_dat_rdbk[6]~12_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [6]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [6]))))
6495
 
6496
        .dataa(\wb_interface|pulsewidth [6]),
6497
        .datab(\wb_interface|Equal1~0_combout ),
6498
        .datac(\wb_interface|p2p [6]),
6499
        .datad(\wb_interface|Equal2~1_combout ),
6500
        .cin(gnd),
6501
        .combout(\wb_interface|wb_dat_rdbk[6]~12_combout ),
6502
        .cout());
6503
// synopsys translate_off
6504
defparam \wb_interface|wb_dat_rdbk[6]~12 .lut_mask = 16'h3022;
6505
defparam \wb_interface|wb_dat_rdbk[6]~12 .sum_lutc_input = "datac";
6506
// synopsys translate_on
6507
 
6508
// Location: LCCOMB_X29_Y2_N8
6509
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[6]~13 (
6510
// Equation(s):
6511
// \wb_interface|wb_dat_rdbk[6]~13_combout  = (\wb_interface|wb_dat_rdbk[6]~12_combout ) # ((\wb_interface|size [6] & \wb_interface|Equal1~0_combout ))
6512
 
6513
        .dataa(\wb_interface|wb_dat_rdbk[6]~12_combout ),
6514
        .datab(\wb_interface|size [6]),
6515
        .datac(gnd),
6516
        .datad(\wb_interface|Equal1~0_combout ),
6517
        .cin(gnd),
6518
        .combout(\wb_interface|wb_dat_rdbk[6]~13_combout ),
6519
        .cout());
6520
// synopsys translate_off
6521
defparam \wb_interface|wb_dat_rdbk[6]~13 .lut_mask = 16'hEEAA;
6522
defparam \wb_interface|wb_dat_rdbk[6]~13 .sum_lutc_input = "datac";
6523
// synopsys translate_on
6524
 
6525
// Location: LCCOMB_X27_Y4_N14
6526
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[7]~14 (
6527
// Equation(s):
6528
// \wb_interface|wb_dat_rdbk[7]~14_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [7])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [7])))))
6529
 
6530
        .dataa(\wb_interface|p2p [7]),
6531
        .datab(\wb_interface|Equal1~0_combout ),
6532
        .datac(\wb_interface|pulsewidth [7]),
6533
        .datad(\wb_interface|Equal2~1_combout ),
6534
        .cin(gnd),
6535
        .combout(\wb_interface|wb_dat_rdbk[7]~14_combout ),
6536
        .cout());
6537
// synopsys translate_off
6538
defparam \wb_interface|wb_dat_rdbk[7]~14 .lut_mask = 16'h2230;
6539
defparam \wb_interface|wb_dat_rdbk[7]~14 .sum_lutc_input = "datac";
6540
// synopsys translate_on
6541
 
6542
// Location: LCCOMB_X28_Y4_N22
6543
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[7]~15 (
6544
// Equation(s):
6545
// \wb_interface|wb_dat_rdbk[7]~15_combout  = (\wb_interface|wb_dat_rdbk[7]~14_combout ) # ((\wb_interface|size [7] & \wb_interface|Equal1~0_combout ))
6546
 
6547
        .dataa(\wb_interface|wb_dat_rdbk[7]~14_combout ),
6548
        .datab(\wb_interface|size [7]),
6549
        .datac(\wb_interface|Equal1~0_combout ),
6550
        .datad(gnd),
6551
        .cin(gnd),
6552
        .combout(\wb_interface|wb_dat_rdbk[7]~15_combout ),
6553
        .cout());
6554
// synopsys translate_off
6555
defparam \wb_interface|wb_dat_rdbk[7]~15 .lut_mask = 16'hEAEA;
6556
defparam \wb_interface|wb_dat_rdbk[7]~15 .sum_lutc_input = "datac";
6557
// synopsys translate_on
6558
 
6559
// Location: LCCOMB_X27_Y1_N6
6560
cycloneiv_lcell_comb \wb_interface|size~1 (
6561
// Equation(s):
6562
// \wb_interface|size~1_combout  = (\wb_dat_i[8]~input_o  & \wb_interface|always5~0_combout )
6563
 
6564
        .dataa(\wb_dat_i[8]~input_o ),
6565
        .datab(gnd),
6566
        .datac(gnd),
6567
        .datad(\wb_interface|always5~0_combout ),
6568
        .cin(gnd),
6569
        .combout(\wb_interface|size~1_combout ),
6570
        .cout());
6571
// synopsys translate_off
6572
defparam \wb_interface|size~1 .lut_mask = 16'hAA00;
6573
defparam \wb_interface|size~1 .sum_lutc_input = "datac";
6574
// synopsys translate_on
6575
 
6576
// Location: FF_X27_Y1_N7
6577
dffeas \wb_interface|size[8] (
6578
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
6579
        .d(\wb_interface|size~1_combout ),
6580
        .asdata(vcc),
6581
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
6582
        .aload(gnd),
6583
        .sclr(gnd),
6584
        .sload(gnd),
6585
        .ena(vcc),
6586
        .devclrn(devclrn),
6587
        .devpor(devpor),
6588
        .q(\wb_interface|size [8]),
6589
        .prn(vcc));
6590
// synopsys translate_off
6591
defparam \wb_interface|size[8] .is_wysiwyg = "true";
6592
defparam \wb_interface|size[8] .power_up = "low";
6593
// synopsys translate_on
6594
 
6595
// Location: LCCOMB_X27_Y4_N4
6596
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[8]~16 (
6597
// Equation(s):
6598
// \wb_interface|wb_dat_rdbk[8]~16_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [8])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [8])))))
6599
 
6600
        .dataa(\wb_interface|Equal2~1_combout ),
6601
        .datab(\wb_interface|Equal1~0_combout ),
6602
        .datac(\wb_interface|p2p [8]),
6603
        .datad(\wb_interface|pulsewidth [8]),
6604
        .cin(gnd),
6605
        .combout(\wb_interface|wb_dat_rdbk[8]~16_combout ),
6606
        .cout());
6607
// synopsys translate_off
6608
defparam \wb_interface|wb_dat_rdbk[8]~16 .lut_mask = 16'h3120;
6609
defparam \wb_interface|wb_dat_rdbk[8]~16 .sum_lutc_input = "datac";
6610
// synopsys translate_on
6611
 
6612
// Location: LCCOMB_X27_Y4_N6
6613
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[8]~17 (
6614
// Equation(s):
6615
// \wb_interface|wb_dat_rdbk[8]~17_combout  = (\wb_interface|wb_dat_rdbk[8]~16_combout ) # ((\wb_interface|size [8] & \wb_interface|Equal1~0_combout ))
6616
 
6617
        .dataa(\wb_interface|size [8]),
6618
        .datab(\wb_interface|Equal1~0_combout ),
6619
        .datac(gnd),
6620
        .datad(\wb_interface|wb_dat_rdbk[8]~16_combout ),
6621
        .cin(gnd),
6622
        .combout(\wb_interface|wb_dat_rdbk[8]~17_combout ),
6623
        .cout());
6624
// synopsys translate_off
6625
defparam \wb_interface|wb_dat_rdbk[8]~17 .lut_mask = 16'hFF88;
6626
defparam \wb_interface|wb_dat_rdbk[8]~17 .sum_lutc_input = "datac";
6627
// synopsys translate_on
6628
 
6629
// Location: LCCOMB_X27_Y4_N16
6630
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[9]~18 (
6631
// Equation(s):
6632
// \wb_interface|wb_dat_rdbk[9]~18_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [9]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [9]))))
6633
 
6634
        .dataa(\wb_interface|Equal2~1_combout ),
6635
        .datab(\wb_interface|Equal1~0_combout ),
6636
        .datac(\wb_interface|pulsewidth [9]),
6637
        .datad(\wb_interface|p2p [9]),
6638
        .cin(gnd),
6639
        .combout(\wb_interface|wb_dat_rdbk[9]~18_combout ),
6640
        .cout());
6641
// synopsys translate_off
6642
defparam \wb_interface|wb_dat_rdbk[9]~18 .lut_mask = 16'h3210;
6643
defparam \wb_interface|wb_dat_rdbk[9]~18 .sum_lutc_input = "datac";
6644
// synopsys translate_on
6645
 
6646
// Location: LCCOMB_X27_Y3_N28
6647
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[10]~19 (
6648
// Equation(s):
6649
// \wb_interface|wb_dat_rdbk[10]~19_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [10]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [10]))))
6650
 
6651
        .dataa(\wb_interface|Equal1~0_combout ),
6652
        .datab(\wb_interface|pulsewidth [10]),
6653
        .datac(\wb_interface|p2p [10]),
6654
        .datad(\wb_interface|Equal2~1_combout ),
6655
        .cin(gnd),
6656
        .combout(\wb_interface|wb_dat_rdbk[10]~19_combout ),
6657
        .cout());
6658
// synopsys translate_off
6659
defparam \wb_interface|wb_dat_rdbk[10]~19 .lut_mask = 16'h5044;
6660
defparam \wb_interface|wb_dat_rdbk[10]~19 .sum_lutc_input = "datac";
6661
// synopsys translate_on
6662
 
6663
// Location: LCCOMB_X27_Y3_N22
6664
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[11]~20 (
6665
// Equation(s):
6666
// \wb_interface|wb_dat_rdbk[11]~20_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [11]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [11]))))
6667
 
6668
        .dataa(\wb_interface|Equal1~0_combout ),
6669
        .datab(\wb_interface|Equal2~1_combout ),
6670
        .datac(\wb_interface|pulsewidth [11]),
6671
        .datad(\wb_interface|p2p [11]),
6672
        .cin(gnd),
6673
        .combout(\wb_interface|wb_dat_rdbk[11]~20_combout ),
6674
        .cout());
6675
// synopsys translate_off
6676
defparam \wb_interface|wb_dat_rdbk[11]~20 .lut_mask = 16'h5410;
6677
defparam \wb_interface|wb_dat_rdbk[11]~20 .sum_lutc_input = "datac";
6678
// synopsys translate_on
6679
 
6680
// Location: LCCOMB_X25_Y3_N16
6681
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[12]~21 (
6682
// Equation(s):
6683
// \wb_interface|wb_dat_rdbk[12]~21_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [12]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [12]))))
6684
 
6685
        .dataa(\wb_interface|Equal1~0_combout ),
6686
        .datab(\wb_interface|pulsewidth [12]),
6687
        .datac(\wb_interface|p2p [12]),
6688
        .datad(\wb_interface|Equal2~1_combout ),
6689
        .cin(gnd),
6690
        .combout(\wb_interface|wb_dat_rdbk[12]~21_combout ),
6691
        .cout());
6692
// synopsys translate_off
6693
defparam \wb_interface|wb_dat_rdbk[12]~21 .lut_mask = 16'h5044;
6694
defparam \wb_interface|wb_dat_rdbk[12]~21 .sum_lutc_input = "datac";
6695
// synopsys translate_on
6696
 
6697
// Location: LCCOMB_X27_Y3_N12
6698
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[13]~22 (
6699
// Equation(s):
6700
// \wb_interface|wb_dat_rdbk[13]~22_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [13])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [13])))))
6701
 
6702
        .dataa(\wb_interface|Equal1~0_combout ),
6703
        .datab(\wb_interface|p2p [13]),
6704
        .datac(\wb_interface|pulsewidth [13]),
6705
        .datad(\wb_interface|Equal2~1_combout ),
6706
        .cin(gnd),
6707
        .combout(\wb_interface|wb_dat_rdbk[13]~22_combout ),
6708
        .cout());
6709
// synopsys translate_off
6710
defparam \wb_interface|wb_dat_rdbk[13]~22 .lut_mask = 16'h4450;
6711
defparam \wb_interface|wb_dat_rdbk[13]~22 .sum_lutc_input = "datac";
6712
// synopsys translate_on
6713
 
6714
// Location: LCCOMB_X27_Y3_N10
6715
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[14]~23 (
6716
// Equation(s):
6717
// \wb_interface|wb_dat_rdbk[14]~23_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [14]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [14]))))
6718
 
6719
        .dataa(\wb_interface|Equal1~0_combout ),
6720
        .datab(\wb_interface|pulsewidth [14]),
6721
        .datac(\wb_interface|p2p [14]),
6722
        .datad(\wb_interface|Equal2~1_combout ),
6723
        .cin(gnd),
6724
        .combout(\wb_interface|wb_dat_rdbk[14]~23_combout ),
6725
        .cout());
6726
// synopsys translate_off
6727
defparam \wb_interface|wb_dat_rdbk[14]~23 .lut_mask = 16'h5044;
6728
defparam \wb_interface|wb_dat_rdbk[14]~23 .sum_lutc_input = "datac";
6729
// synopsys translate_on
6730
 
6731
// Location: LCCOMB_X27_Y3_N24
6732
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[15]~24 (
6733
// Equation(s):
6734
// \wb_interface|wb_dat_rdbk[15]~24_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [15]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [15]))))
6735
 
6736
        .dataa(\wb_interface|Equal1~0_combout ),
6737
        .datab(\wb_interface|Equal2~1_combout ),
6738
        .datac(\wb_interface|pulsewidth [15]),
6739
        .datad(\wb_interface|p2p [15]),
6740
        .cin(gnd),
6741
        .combout(\wb_interface|wb_dat_rdbk[15]~24_combout ),
6742
        .cout());
6743
// synopsys translate_off
6744
defparam \wb_interface|wb_dat_rdbk[15]~24 .lut_mask = 16'h5410;
6745
defparam \wb_interface|wb_dat_rdbk[15]~24 .sum_lutc_input = "datac";
6746
// synopsys translate_on
6747
 
6748
// Location: LCCOMB_X27_Y3_N30
6749
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[16]~25 (
6750
// Equation(s):
6751
// \wb_interface|wb_dat_rdbk[16]~25_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [16]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [16]))))
6752
 
6753
        .dataa(\wb_interface|Equal1~0_combout ),
6754
        .datab(\wb_interface|pulsewidth [16]),
6755
        .datac(\wb_interface|p2p [16]),
6756
        .datad(\wb_interface|Equal2~1_combout ),
6757
        .cin(gnd),
6758
        .combout(\wb_interface|wb_dat_rdbk[16]~25_combout ),
6759
        .cout());
6760
// synopsys translate_off
6761
defparam \wb_interface|wb_dat_rdbk[16]~25 .lut_mask = 16'h5044;
6762
defparam \wb_interface|wb_dat_rdbk[16]~25 .sum_lutc_input = "datac";
6763
// synopsys translate_on
6764
 
6765
// Location: LCCOMB_X27_Y3_N0
6766
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[17]~26 (
6767
// Equation(s):
6768
// \wb_interface|wb_dat_rdbk[17]~26_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [17]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [17]))))
6769
 
6770
        .dataa(\wb_interface|Equal1~0_combout ),
6771
        .datab(\wb_interface|Equal2~1_combout ),
6772
        .datac(\wb_interface|pulsewidth [17]),
6773
        .datad(\wb_interface|p2p [17]),
6774
        .cin(gnd),
6775
        .combout(\wb_interface|wb_dat_rdbk[17]~26_combout ),
6776
        .cout());
6777
// synopsys translate_off
6778
defparam \wb_interface|wb_dat_rdbk[17]~26 .lut_mask = 16'h5410;
6779
defparam \wb_interface|wb_dat_rdbk[17]~26 .sum_lutc_input = "datac";
6780
// synopsys translate_on
6781
 
6782
// Location: LCCOMB_X25_Y3_N18
6783
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[18]~27 (
6784
// Equation(s):
6785
// \wb_interface|wb_dat_rdbk[18]~27_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [18])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [18])))))
6786
 
6787
        .dataa(\wb_interface|Equal1~0_combout ),
6788
        .datab(\wb_interface|Equal2~1_combout ),
6789
        .datac(\wb_interface|p2p [18]),
6790
        .datad(\wb_interface|pulsewidth [18]),
6791
        .cin(gnd),
6792
        .combout(\wb_interface|wb_dat_rdbk[18]~27_combout ),
6793
        .cout());
6794
// synopsys translate_off
6795
defparam \wb_interface|wb_dat_rdbk[18]~27 .lut_mask = 16'h5140;
6796
defparam \wb_interface|wb_dat_rdbk[18]~27 .sum_lutc_input = "datac";
6797
// synopsys translate_on
6798
 
6799
// Location: LCCOMB_X25_Y3_N4
6800
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[19]~28 (
6801
// Equation(s):
6802
// \wb_interface|wb_dat_rdbk[19]~28_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [19])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [19])))))
6803
 
6804
        .dataa(\wb_interface|p2p [19]),
6805
        .datab(\wb_interface|Equal1~0_combout ),
6806
        .datac(\wb_interface|pulsewidth [19]),
6807
        .datad(\wb_interface|Equal2~1_combout ),
6808
        .cin(gnd),
6809
        .combout(\wb_interface|wb_dat_rdbk[19]~28_combout ),
6810
        .cout());
6811
// synopsys translate_off
6812
defparam \wb_interface|wb_dat_rdbk[19]~28 .lut_mask = 16'h2230;
6813
defparam \wb_interface|wb_dat_rdbk[19]~28 .sum_lutc_input = "datac";
6814
// synopsys translate_on
6815
 
6816
// Location: LCCOMB_X25_Y3_N22
6817
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[20]~29 (
6818
// Equation(s):
6819
// \wb_interface|wb_dat_rdbk[20]~29_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [20]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [20]))))
6820
 
6821
        .dataa(\wb_interface|Equal1~0_combout ),
6822
        .datab(\wb_interface|pulsewidth [20]),
6823
        .datac(\wb_interface|p2p [20]),
6824
        .datad(\wb_interface|Equal2~1_combout ),
6825
        .cin(gnd),
6826
        .combout(\wb_interface|wb_dat_rdbk[20]~29_combout ),
6827
        .cout());
6828
// synopsys translate_off
6829
defparam \wb_interface|wb_dat_rdbk[20]~29 .lut_mask = 16'h5044;
6830
defparam \wb_interface|wb_dat_rdbk[20]~29 .sum_lutc_input = "datac";
6831
// synopsys translate_on
6832
 
6833
// Location: LCCOMB_X25_Y3_N8
6834
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[21]~30 (
6835
// Equation(s):
6836
// \wb_interface|wb_dat_rdbk[21]~30_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [21]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [21]))))
6837
 
6838
        .dataa(\wb_interface|Equal1~0_combout ),
6839
        .datab(\wb_interface|Equal2~1_combout ),
6840
        .datac(\wb_interface|pulsewidth [21]),
6841
        .datad(\wb_interface|p2p [21]),
6842
        .cin(gnd),
6843
        .combout(\wb_interface|wb_dat_rdbk[21]~30_combout ),
6844
        .cout());
6845
// synopsys translate_off
6846
defparam \wb_interface|wb_dat_rdbk[21]~30 .lut_mask = 16'h5410;
6847
defparam \wb_interface|wb_dat_rdbk[21]~30 .sum_lutc_input = "datac";
6848
// synopsys translate_on
6849
 
6850
// Location: LCCOMB_X24_Y3_N26
6851
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[22]~31 (
6852
// Equation(s):
6853
// \wb_interface|wb_dat_rdbk[22]~31_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [22]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [22]))))
6854
 
6855
        .dataa(\wb_interface|pulsewidth [22]),
6856
        .datab(\wb_interface|p2p [22]),
6857
        .datac(\wb_interface|Equal1~0_combout ),
6858
        .datad(\wb_interface|Equal2~1_combout ),
6859
        .cin(gnd),
6860
        .combout(\wb_interface|wb_dat_rdbk[22]~31_combout ),
6861
        .cout());
6862
// synopsys translate_off
6863
defparam \wb_interface|wb_dat_rdbk[22]~31 .lut_mask = 16'h0C0A;
6864
defparam \wb_interface|wb_dat_rdbk[22]~31 .sum_lutc_input = "datac";
6865
// synopsys translate_on
6866
 
6867
// Location: LCCOMB_X25_Y3_N24
6868
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[23]~32 (
6869
// Equation(s):
6870
// \wb_interface|wb_dat_rdbk[23]~32_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [23])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [23])))))
6871
 
6872
        .dataa(\wb_interface|p2p [23]),
6873
        .datab(\wb_interface|Equal1~0_combout ),
6874
        .datac(\wb_interface|pulsewidth [23]),
6875
        .datad(\wb_interface|Equal2~1_combout ),
6876
        .cin(gnd),
6877
        .combout(\wb_interface|wb_dat_rdbk[23]~32_combout ),
6878
        .cout());
6879
// synopsys translate_off
6880
defparam \wb_interface|wb_dat_rdbk[23]~32 .lut_mask = 16'h2230;
6881
defparam \wb_interface|wb_dat_rdbk[23]~32 .sum_lutc_input = "datac";
6882
// synopsys translate_on
6883
 
6884
// Location: LCCOMB_X24_Y3_N22
6885
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[24]~33 (
6886
// Equation(s):
6887
// \wb_interface|wb_dat_rdbk[24]~33_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [24]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [24]))))
6888
 
6889
        .dataa(\wb_interface|Equal1~0_combout ),
6890
        .datab(\wb_interface|pulsewidth [24]),
6891
        .datac(\wb_interface|p2p [24]),
6892
        .datad(\wb_interface|Equal2~1_combout ),
6893
        .cin(gnd),
6894
        .combout(\wb_interface|wb_dat_rdbk[24]~33_combout ),
6895
        .cout());
6896
// synopsys translate_off
6897
defparam \wb_interface|wb_dat_rdbk[24]~33 .lut_mask = 16'h5044;
6898
defparam \wb_interface|wb_dat_rdbk[24]~33 .sum_lutc_input = "datac";
6899
// synopsys translate_on
6900
 
6901
// Location: LCCOMB_X25_Y3_N12
6902
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[25]~34 (
6903
// Equation(s):
6904
// \wb_interface|wb_dat_rdbk[25]~34_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [25])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [25])))))
6905
 
6906
        .dataa(\wb_interface|p2p [25]),
6907
        .datab(\wb_interface|Equal1~0_combout ),
6908
        .datac(\wb_interface|pulsewidth [25]),
6909
        .datad(\wb_interface|Equal2~1_combout ),
6910
        .cin(gnd),
6911
        .combout(\wb_interface|wb_dat_rdbk[25]~34_combout ),
6912
        .cout());
6913
// synopsys translate_off
6914
defparam \wb_interface|wb_dat_rdbk[25]~34 .lut_mask = 16'h2230;
6915
defparam \wb_interface|wb_dat_rdbk[25]~34 .sum_lutc_input = "datac";
6916
// synopsys translate_on
6917
 
6918
// Location: LCCOMB_X29_Y3_N14
6919
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[26]~35 (
6920
// Equation(s):
6921
// \wb_interface|wb_dat_rdbk[26]~35_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [26]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [26]))))
6922
 
6923
        .dataa(\wb_interface|Equal1~0_combout ),
6924
        .datab(\wb_interface|pulsewidth [26]),
6925
        .datac(\wb_interface|p2p [26]),
6926
        .datad(\wb_interface|Equal2~1_combout ),
6927
        .cin(gnd),
6928
        .combout(\wb_interface|wb_dat_rdbk[26]~35_combout ),
6929
        .cout());
6930
// synopsys translate_off
6931
defparam \wb_interface|wb_dat_rdbk[26]~35 .lut_mask = 16'h5044;
6932
defparam \wb_interface|wb_dat_rdbk[26]~35 .sum_lutc_input = "datac";
6933
// synopsys translate_on
6934
 
6935
// Location: LCCOMB_X29_Y3_N16
6936
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[27]~36 (
6937
// Equation(s):
6938
// \wb_interface|wb_dat_rdbk[27]~36_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [27])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [27])))))
6939
 
6940
        .dataa(\wb_interface|Equal1~0_combout ),
6941
        .datab(\wb_interface|p2p [27]),
6942
        .datac(\wb_interface|pulsewidth [27]),
6943
        .datad(\wb_interface|Equal2~1_combout ),
6944
        .cin(gnd),
6945
        .combout(\wb_interface|wb_dat_rdbk[27]~36_combout ),
6946
        .cout());
6947
// synopsys translate_off
6948
defparam \wb_interface|wb_dat_rdbk[27]~36 .lut_mask = 16'h4450;
6949
defparam \wb_interface|wb_dat_rdbk[27]~36 .sum_lutc_input = "datac";
6950
// synopsys translate_on
6951
 
6952
// Location: LCCOMB_X29_Y3_N22
6953
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[28]~37 (
6954
// Equation(s):
6955
// \wb_interface|wb_dat_rdbk[28]~37_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [28]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [28]))))
6956
 
6957
        .dataa(\wb_interface|Equal1~0_combout ),
6958
        .datab(\wb_interface|pulsewidth [28]),
6959
        .datac(\wb_interface|p2p [28]),
6960
        .datad(\wb_interface|Equal2~1_combout ),
6961
        .cin(gnd),
6962
        .combout(\wb_interface|wb_dat_rdbk[28]~37_combout ),
6963
        .cout());
6964
// synopsys translate_off
6965
defparam \wb_interface|wb_dat_rdbk[28]~37 .lut_mask = 16'h5044;
6966
defparam \wb_interface|wb_dat_rdbk[28]~37 .sum_lutc_input = "datac";
6967
// synopsys translate_on
6968
 
6969
// Location: LCCOMB_X29_Y3_N6
6970
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[29]~38 (
6971
// Equation(s):
6972
// \wb_interface|wb_dat_rdbk[29]~38_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & ((\wb_interface|p2p [29]))) # (!\wb_interface|Equal2~1_combout  & (\wb_interface|pulsewidth [29]))))
6973
 
6974
        .dataa(\wb_interface|Equal1~0_combout ),
6975
        .datab(\wb_interface|Equal2~1_combout ),
6976
        .datac(\wb_interface|pulsewidth [29]),
6977
        .datad(\wb_interface|p2p [29]),
6978
        .cin(gnd),
6979
        .combout(\wb_interface|wb_dat_rdbk[29]~38_combout ),
6980
        .cout());
6981
// synopsys translate_off
6982
defparam \wb_interface|wb_dat_rdbk[29]~38 .lut_mask = 16'h5410;
6983
defparam \wb_interface|wb_dat_rdbk[29]~38 .sum_lutc_input = "datac";
6984
// synopsys translate_on
6985
 
6986
// Location: LCCOMB_X27_Y4_N22
6987
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[30]~39 (
6988
// Equation(s):
6989
// \wb_interface|wb_dat_rdbk[30]~39_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [30])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [30])))))
6990
 
6991
        .dataa(\wb_interface|Equal2~1_combout ),
6992
        .datab(\wb_interface|Equal1~0_combout ),
6993
        .datac(\wb_interface|p2p [30]),
6994
        .datad(\wb_interface|pulsewidth [30]),
6995
        .cin(gnd),
6996
        .combout(\wb_interface|wb_dat_rdbk[30]~39_combout ),
6997
        .cout());
6998
// synopsys translate_off
6999
defparam \wb_interface|wb_dat_rdbk[30]~39 .lut_mask = 16'h3120;
7000
defparam \wb_interface|wb_dat_rdbk[30]~39 .sum_lutc_input = "datac";
7001
// synopsys translate_on
7002
 
7003
// Location: LCCOMB_X27_Y4_N28
7004
cycloneiv_lcell_comb \wb_interface|wb_dat_rdbk[31]~40 (
7005
// Equation(s):
7006
// \wb_interface|wb_dat_rdbk[31]~40_combout  = (!\wb_interface|Equal1~0_combout  & ((\wb_interface|Equal2~1_combout  & (\wb_interface|p2p [31])) # (!\wb_interface|Equal2~1_combout  & ((\wb_interface|pulsewidth [31])))))
7007
 
7008
        .dataa(\wb_interface|p2p [31]),
7009
        .datab(\wb_interface|Equal1~0_combout ),
7010
        .datac(\wb_interface|pulsewidth [31]),
7011
        .datad(\wb_interface|Equal2~1_combout ),
7012
        .cin(gnd),
7013
        .combout(\wb_interface|wb_dat_rdbk[31]~40_combout ),
7014
        .cout());
7015
// synopsys translate_off
7016
defparam \wb_interface|wb_dat_rdbk[31]~40 .lut_mask = 16'h2230;
7017
defparam \wb_interface|wb_dat_rdbk[31]~40 .sum_lutc_input = "datac";
7018
// synopsys translate_on
7019
 
7020
// Location: LCCOMB_X27_Y1_N30
7021
cycloneiv_lcell_comb \comb~0 (
7022
// Equation(s):
7023
// \comb~0_combout  = (\wb_rst_i~input_o ) # (\wb_interface|size [8])
7024
 
7025
        .dataa(gnd),
7026
        .datab(gnd),
7027
        .datac(\wb_rst_i~input_o ),
7028
        .datad(\wb_interface|size [8]),
7029
        .cin(gnd),
7030
        .combout(\comb~0_combout ),
7031
        .cout());
7032
// synopsys translate_off
7033
defparam \comb~0 .lut_mask = 16'hFFF0;
7034
defparam \comb~0 .sum_lutc_input = "datac";
7035
// synopsys translate_on
7036
 
7037
// Location: CLKCTRL_G15
7038
cycloneiv_clkctrl \comb~0clkctrl (
7039
        .ena(vcc),
7040
        .inclk({vcc,vcc,vcc,\comb~0_combout }),
7041
        .clkselect(2'b00),
7042
        .devclrn(devclrn),
7043
        .devpor(devpor),
7044
        .outclk(\comb~0clkctrl_outclk ));
7045
// synopsys translate_off
7046
defparam \comb~0clkctrl .clock_type = "global clock";
7047
defparam \comb~0clkctrl .ena_register_mode = "none";
7048
// synopsys translate_on
7049
 
7050
// Location: LCCOMB_X32_Y3_N28
7051
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|addr_wr[1]~1 (
7052
// Equation(s):
7053
// \datafifowrite|custom_fifo_dp5|addr_wr[1]~1_combout  = !\datafifowrite|custom_fifo_dp5|addr_wr [0]
7054
 
7055
        .dataa(gnd),
7056
        .datab(gnd),
7057
        .datac(gnd),
7058
        .datad(\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7059
        .cin(gnd),
7060
        .combout(\datafifowrite|custom_fifo_dp5|addr_wr[1]~1_combout ),
7061
        .cout());
7062
// synopsys translate_off
7063
defparam \datafifowrite|custom_fifo_dp5|addr_wr[1]~1 .lut_mask = 16'h00FF;
7064
defparam \datafifowrite|custom_fifo_dp5|addr_wr[1]~1 .sum_lutc_input = "datac";
7065
// synopsys translate_on
7066
 
7067
// Location: LCCOMB_X31_Y3_N4
7068
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|Equal0~1 (
7069
// Equation(s):
7070
// \datafifowrite|custom_fifo_dp5|Equal0~1_combout  = (\datafifowrite|custom_fifo_dp5|Equal0~0_combout  & (\datafifowrite|custom_fifo_dp5|addr_wr [2] $ (!\datafifowrite|custom_fifo_dp5|addr_rd [2])))
7071
 
7072
        .dataa(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7073
        .datab(gnd),
7074
        .datac(\datafifowrite|custom_fifo_dp5|addr_rd [2]),
7075
        .datad(\datafifowrite|custom_fifo_dp5|Equal0~0_combout ),
7076
        .cin(gnd),
7077
        .combout(\datafifowrite|custom_fifo_dp5|Equal0~1_combout ),
7078
        .cout());
7079
// synopsys translate_off
7080
defparam \datafifowrite|custom_fifo_dp5|Equal0~1 .lut_mask = 16'hA500;
7081
defparam \datafifowrite|custom_fifo_dp5|Equal0~1 .sum_lutc_input = "datac";
7082
// synopsys translate_on
7083
 
7084
// Location: LCCOMB_X32_Y3_N24
7085
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|full~0 (
7086
// Equation(s):
7087
// \datafifowrite|custom_fifo_dp5|full~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (((\datafifowrite|custom_fifo_dp5|addr_wr [2] & !\datafifowrite|custom_fifo_dp5|addr_rd [0])) # (!\datafifowrite|custom_fifo_dp5|addr_wr [0]))) #
7088
// (!\datafifowrite|custom_fifo_dp5|addr_rd [1] & (((\datafifowrite|custom_fifo_dp5|addr_wr [2] & !\datafifowrite|custom_fifo_dp5|addr_rd [0]))))
7089
 
7090
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7091
        .datab(\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7092
        .datac(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7093
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7094
        .cin(gnd),
7095
        .combout(\datafifowrite|custom_fifo_dp5|full~0_combout ),
7096
        .cout());
7097
// synopsys translate_off
7098
defparam \datafifowrite|custom_fifo_dp5|full~0 .lut_mask = 16'h22F2;
7099
defparam \datafifowrite|custom_fifo_dp5|full~0 .sum_lutc_input = "datac";
7100
// synopsys translate_on
7101
 
7102
// Location: LCCOMB_X31_Y3_N28
7103
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|full~1 (
7104
// Equation(s):
7105
// \datafifowrite|custom_fifo_dp5|full~1_combout  = (!\datafifowrite|custom_fifo_dp5|Equal0~1_combout  & ((\datafifowrite|custom_fifo_dp5|full~0_combout ) # ((\datafifowrite|custom_fifo_dp5|addr_rd [2] & \datafifowrite|custom_fifo_dp5|addr_wr [1]))))
7106
 
7107
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [2]),
7108
        .datab(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7109
        .datac(\datafifowrite|custom_fifo_dp5|Equal0~1_combout ),
7110
        .datad(\datafifowrite|custom_fifo_dp5|full~0_combout ),
7111
        .cin(gnd),
7112
        .combout(\datafifowrite|custom_fifo_dp5|full~1_combout ),
7113
        .cout());
7114
// synopsys translate_off
7115
defparam \datafifowrite|custom_fifo_dp5|full~1 .lut_mask = 16'h0F08;
7116
defparam \datafifowrite|custom_fifo_dp5|full~1 .sum_lutc_input = "datac";
7117
// synopsys translate_on
7118
 
7119
// Location: FF_X31_Y3_N29
7120
dffeas full_dly(
7121
        .clk(\wb_clk_i~inputclkctrl_outclk ),
7122
        .d(\datafifowrite|custom_fifo_dp5|full~1_combout ),
7123
        .asdata(vcc),
7124
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
7125
        .aload(gnd),
7126
        .sclr(gnd),
7127
        .sload(gnd),
7128
        .ena(vcc),
7129
        .devclrn(devclrn),
7130
        .devpor(devpor),
7131
        .q(\full_dly~q ),
7132
        .prn(vcc));
7133
// synopsys translate_off
7134
defparam full_dly.is_wysiwyg = "true";
7135
defparam full_dly.power_up = "low";
7136
// synopsys translate_on
7137
 
7138
// Location: LCCOMB_X31_Y3_N14
7139
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|always1~0 (
7140
// Equation(s):
7141
// \datafifowrite|custom_fifo_dp5|always1~0_combout  = (!\wb_adr_i[1]~input_o  & (!\lock_cfg~q  & \wb_interface|rty_int~1_combout ))
7142
 
7143
        .dataa(\wb_adr_i[1]~input_o ),
7144
        .datab(\lock_cfg~q ),
7145
        .datac(gnd),
7146
        .datad(\wb_interface|rty_int~1_combout ),
7147
        .cin(gnd),
7148
        .combout(\datafifowrite|custom_fifo_dp5|always1~0_combout ),
7149
        .cout());
7150
// synopsys translate_off
7151
defparam \datafifowrite|custom_fifo_dp5|always1~0 .lut_mask = 16'h1100;
7152
defparam \datafifowrite|custom_fifo_dp5|always1~0 .sum_lutc_input = "datac";
7153
// synopsys translate_on
7154
 
7155
// Location: LCCOMB_X31_Y3_N2
7156
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|always1~1 (
7157
// Equation(s):
7158
// \datafifowrite|custom_fifo_dp5|always1~1_combout  = (!\wb_adr_i[0]~input_o  & (!\full_dly~q  & (\datafifowrite|custom_fifo_dp5|always1~0_combout  & !\datafifowrite|custom_fifo_dp5|full~1_combout )))
7159
 
7160
        .dataa(\wb_adr_i[0]~input_o ),
7161
        .datab(\full_dly~q ),
7162
        .datac(\datafifowrite|custom_fifo_dp5|always1~0_combout ),
7163
        .datad(\datafifowrite|custom_fifo_dp5|full~1_combout ),
7164
        .cin(gnd),
7165
        .combout(\datafifowrite|custom_fifo_dp5|always1~1_combout ),
7166
        .cout());
7167
// synopsys translate_off
7168
defparam \datafifowrite|custom_fifo_dp5|always1~1 .lut_mask = 16'h0010;
7169
defparam \datafifowrite|custom_fifo_dp5|always1~1 .sum_lutc_input = "datac";
7170
// synopsys translate_on
7171
 
7172
// Location: FF_X32_Y3_N29
7173
dffeas \datafifowrite|custom_fifo_dp5|addr_wr[1] (
7174
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7175
        .d(\datafifowrite|custom_fifo_dp5|addr_wr[1]~1_combout ),
7176
        .asdata(vcc),
7177
        .clrn(!\comb~0clkctrl_outclk ),
7178
        .aload(gnd),
7179
        .sclr(gnd),
7180
        .sload(gnd),
7181
        .ena(\datafifowrite|custom_fifo_dp5|always1~1_combout ),
7182
        .devclrn(devclrn),
7183
        .devpor(devpor),
7184
        .q(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7185
        .prn(vcc));
7186
// synopsys translate_off
7187
defparam \datafifowrite|custom_fifo_dp5|addr_wr[1] .is_wysiwyg = "true";
7188
defparam \datafifowrite|custom_fifo_dp5|addr_wr[1] .power_up = "low";
7189
// synopsys translate_on
7190
 
7191
// Location: FF_X32_Y3_N25
7192
dffeas \datafifowrite|custom_fifo_dp5|addr_wr[2] (
7193
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7194
        .d(gnd),
7195
        .asdata(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7196
        .clrn(!\comb~0clkctrl_outclk ),
7197
        .aload(gnd),
7198
        .sclr(gnd),
7199
        .sload(vcc),
7200
        .ena(\datafifowrite|custom_fifo_dp5|always1~1_combout ),
7201
        .devclrn(devclrn),
7202
        .devpor(devpor),
7203
        .q(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7204
        .prn(vcc));
7205
// synopsys translate_off
7206
defparam \datafifowrite|custom_fifo_dp5|addr_wr[2] .is_wysiwyg = "true";
7207
defparam \datafifowrite|custom_fifo_dp5|addr_wr[2] .power_up = "low";
7208
// synopsys translate_on
7209
 
7210
// Location: LCCOMB_X32_Y3_N30
7211
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|addr_wr[0]~0 (
7212
// Equation(s):
7213
// \datafifowrite|custom_fifo_dp5|addr_wr[0]~0_combout  = !\datafifowrite|custom_fifo_dp5|addr_wr [2]
7214
 
7215
        .dataa(gnd),
7216
        .datab(gnd),
7217
        .datac(gnd),
7218
        .datad(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7219
        .cin(gnd),
7220
        .combout(\datafifowrite|custom_fifo_dp5|addr_wr[0]~0_combout ),
7221
        .cout());
7222
// synopsys translate_off
7223
defparam \datafifowrite|custom_fifo_dp5|addr_wr[0]~0 .lut_mask = 16'h00FF;
7224
defparam \datafifowrite|custom_fifo_dp5|addr_wr[0]~0 .sum_lutc_input = "datac";
7225
// synopsys translate_on
7226
 
7227
// Location: FF_X32_Y3_N31
7228
dffeas \datafifowrite|custom_fifo_dp5|addr_wr[0] (
7229
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7230
        .d(\datafifowrite|custom_fifo_dp5|addr_wr[0]~0_combout ),
7231
        .asdata(vcc),
7232
        .clrn(!\comb~0clkctrl_outclk ),
7233
        .aload(gnd),
7234
        .sclr(gnd),
7235
        .sload(gnd),
7236
        .ena(\datafifowrite|custom_fifo_dp5|always1~1_combout ),
7237
        .devclrn(devclrn),
7238
        .devpor(devpor),
7239
        .q(\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7240
        .prn(vcc));
7241
// synopsys translate_off
7242
defparam \datafifowrite|custom_fifo_dp5|addr_wr[0] .is_wysiwyg = "true";
7243
defparam \datafifowrite|custom_fifo_dp5|addr_wr[0] .power_up = "low";
7244
// synopsys translate_on
7245
 
7246
// Location: LCCOMB_X31_Y3_N18
7247
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|Equal0~0 (
7248
// Equation(s):
7249
// \datafifowrite|custom_fifo_dp5|Equal0~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [0] & (\datafifowrite|custom_fifo_dp5|addr_wr [0] & (\datafifowrite|custom_fifo_dp5|addr_rd [1] $ (!\datafifowrite|custom_fifo_dp5|addr_wr [1])))) #
7250
// (!\datafifowrite|custom_fifo_dp5|addr_rd [0] & (!\datafifowrite|custom_fifo_dp5|addr_wr [0] & (\datafifowrite|custom_fifo_dp5|addr_rd [1] $ (!\datafifowrite|custom_fifo_dp5|addr_wr [1]))))
7251
 
7252
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7253
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7254
        .datac(\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7255
        .datad(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7256
        .cin(gnd),
7257
        .combout(\datafifowrite|custom_fifo_dp5|Equal0~0_combout ),
7258
        .cout());
7259
// synopsys translate_off
7260
defparam \datafifowrite|custom_fifo_dp5|Equal0~0 .lut_mask = 16'h8421;
7261
defparam \datafifowrite|custom_fifo_dp5|Equal0~0 .sum_lutc_input = "datac";
7262
// synopsys translate_on
7263
 
7264
// Location: LCCOMB_X31_Y3_N12
7265
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|always2~0 (
7266
// Equation(s):
7267
// \datafifowrite|custom_fifo_dp5|always2~0_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|addr_rd [2] $ (\datafifowrite|custom_fifo_dp5|addr_wr [2])) # (!\datafifowrite|custom_fifo_dp5|Equal0~0_combout )))
7268
 
7269
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [2]),
7270
        .datab(\datafifowrite|custom_fifo_dp5|Equal0~0_combout ),
7271
        .datac(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7272
        .datad(\state.001~q ),
7273
        .cin(gnd),
7274
        .combout(\datafifowrite|custom_fifo_dp5|always2~0_combout ),
7275
        .cout());
7276
// synopsys translate_off
7277
defparam \datafifowrite|custom_fifo_dp5|always2~0 .lut_mask = 16'h7B00;
7278
defparam \datafifowrite|custom_fifo_dp5|always2~0 .sum_lutc_input = "datac";
7279
// synopsys translate_on
7280
 
7281
// Location: FF_X31_Y3_N5
7282
dffeas \datafifowrite|custom_fifo_dp5|addr_rd[2] (
7283
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7284
        .d(gnd),
7285
        .asdata(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7286
        .clrn(!\comb~0clkctrl_outclk ),
7287
        .aload(gnd),
7288
        .sclr(gnd),
7289
        .sload(vcc),
7290
        .ena(\datafifowrite|custom_fifo_dp5|always2~0_combout ),
7291
        .devclrn(devclrn),
7292
        .devpor(devpor),
7293
        .q(\datafifowrite|custom_fifo_dp5|addr_rd [2]),
7294
        .prn(vcc));
7295
// synopsys translate_off
7296
defparam \datafifowrite|custom_fifo_dp5|addr_rd[2] .is_wysiwyg = "true";
7297
defparam \datafifowrite|custom_fifo_dp5|addr_rd[2] .power_up = "low";
7298
// synopsys translate_on
7299
 
7300
// Location: LCCOMB_X30_Y3_N16
7301
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|addr_rd[0]~1 (
7302
// Equation(s):
7303
// \datafifowrite|custom_fifo_dp5|addr_rd[0]~1_combout  = !\datafifowrite|custom_fifo_dp5|addr_rd [2]
7304
 
7305
        .dataa(gnd),
7306
        .datab(gnd),
7307
        .datac(gnd),
7308
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [2]),
7309
        .cin(gnd),
7310
        .combout(\datafifowrite|custom_fifo_dp5|addr_rd[0]~1_combout ),
7311
        .cout());
7312
// synopsys translate_off
7313
defparam \datafifowrite|custom_fifo_dp5|addr_rd[0]~1 .lut_mask = 16'h00FF;
7314
defparam \datafifowrite|custom_fifo_dp5|addr_rd[0]~1 .sum_lutc_input = "datac";
7315
// synopsys translate_on
7316
 
7317
// Location: FF_X31_Y3_N15
7318
dffeas \datafifowrite|custom_fifo_dp5|addr_rd[0] (
7319
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7320
        .d(gnd),
7321
        .asdata(\datafifowrite|custom_fifo_dp5|addr_rd[0]~1_combout ),
7322
        .clrn(!\comb~0clkctrl_outclk ),
7323
        .aload(gnd),
7324
        .sclr(gnd),
7325
        .sload(vcc),
7326
        .ena(\datafifowrite|custom_fifo_dp5|always2~0_combout ),
7327
        .devclrn(devclrn),
7328
        .devpor(devpor),
7329
        .q(\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7330
        .prn(vcc));
7331
// synopsys translate_off
7332
defparam \datafifowrite|custom_fifo_dp5|addr_rd[0] .is_wysiwyg = "true";
7333
defparam \datafifowrite|custom_fifo_dp5|addr_rd[0] .power_up = "low";
7334
// synopsys translate_on
7335
 
7336
// Location: LCCOMB_X31_Y3_N16
7337
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|addr_rd[1]~0 (
7338
// Equation(s):
7339
// \datafifowrite|custom_fifo_dp5|addr_rd[1]~0_combout  = !\datafifowrite|custom_fifo_dp5|addr_rd [0]
7340
 
7341
        .dataa(gnd),
7342
        .datab(gnd),
7343
        .datac(gnd),
7344
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7345
        .cin(gnd),
7346
        .combout(\datafifowrite|custom_fifo_dp5|addr_rd[1]~0_combout ),
7347
        .cout());
7348
// synopsys translate_off
7349
defparam \datafifowrite|custom_fifo_dp5|addr_rd[1]~0 .lut_mask = 16'h00FF;
7350
defparam \datafifowrite|custom_fifo_dp5|addr_rd[1]~0 .sum_lutc_input = "datac";
7351
// synopsys translate_on
7352
 
7353
// Location: FF_X31_Y3_N17
7354
dffeas \datafifowrite|custom_fifo_dp5|addr_rd[1] (
7355
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7356
        .d(\datafifowrite|custom_fifo_dp5|addr_rd[1]~0_combout ),
7357
        .asdata(vcc),
7358
        .clrn(!\comb~0clkctrl_outclk ),
7359
        .aload(gnd),
7360
        .sclr(gnd),
7361
        .sload(gnd),
7362
        .ena(\datafifowrite|custom_fifo_dp5|always2~0_combout ),
7363
        .devclrn(devclrn),
7364
        .devpor(devpor),
7365
        .q(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7366
        .prn(vcc));
7367
// synopsys translate_off
7368
defparam \datafifowrite|custom_fifo_dp5|addr_rd[1] .is_wysiwyg = "true";
7369
defparam \datafifowrite|custom_fifo_dp5|addr_rd[1] .power_up = "low";
7370
// synopsys translate_on
7371
 
7372
// Location: FF_X32_Y4_N15
7373
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[5] (
7374
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7375
        .d(gnd),
7376
        .asdata(\wb_dat_i[29]~input_o ),
7377
        .clrn(!\comb~0clkctrl_outclk ),
7378
        .aload(gnd),
7379
        .sclr(gnd),
7380
        .sload(vcc),
7381
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7382
        .devclrn(devclrn),
7383
        .devpor(devpor),
7384
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [5]),
7385
        .prn(vcc));
7386
// synopsys translate_off
7387
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[5] .is_wysiwyg = "true";
7388
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[5] .power_up = "low";
7389
// synopsys translate_on
7390
 
7391
// Location: FF_X32_Y4_N29
7392
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[5] (
7393
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7394
        .d(gnd),
7395
        .asdata(\wb_dat_i[29]~input_o ),
7396
        .clrn(!\comb~0clkctrl_outclk ),
7397
        .aload(gnd),
7398
        .sclr(gnd),
7399
        .sload(vcc),
7400
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7401
        .devclrn(devclrn),
7402
        .devpor(devpor),
7403
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [5]),
7404
        .prn(vcc));
7405
// synopsys translate_off
7406
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[5] .is_wysiwyg = "true";
7407
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[5] .power_up = "low";
7408
// synopsys translate_on
7409
 
7410
// Location: LCCOMB_X31_Y4_N14
7411
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2 (
7412
// Equation(s):
7413
// \datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [5]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
7414
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [5]))
7415
 
7416
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7417
        .datab(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [5]),
7418
        .datac(gnd),
7419
        .datad(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [5]),
7420
        .cin(gnd),
7421
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2_combout ),
7422
        .cout());
7423
// synopsys translate_off
7424
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2 .lut_mask = 16'hEE44;
7425
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2 .sum_lutc_input = "datac";
7426
// synopsys translate_on
7427
 
7428
// Location: LCCOMB_X29_Y4_N10
7429
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder (
7430
// Equation(s):
7431
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[29]~input_o
7432
 
7433
        .dataa(gnd),
7434
        .datab(gnd),
7435
        .datac(gnd),
7436
        .datad(\wb_dat_i[29]~input_o ),
7437
        .cin(gnd),
7438
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
7439
        .cout());
7440
// synopsys translate_off
7441
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
7442
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
7443
// synopsys translate_on
7444
 
7445
// Location: FF_X29_Y4_N11
7446
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5] (
7447
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7448
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
7449
        .asdata(vcc),
7450
        .clrn(!\comb~0clkctrl_outclk ),
7451
        .aload(gnd),
7452
        .sclr(gnd),
7453
        .sload(gnd),
7454
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7455
        .devclrn(devclrn),
7456
        .devpor(devpor),
7457
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [5]),
7458
        .prn(vcc));
7459
// synopsys translate_off
7460
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5] .is_wysiwyg = "true";
7461
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[5] .power_up = "low";
7462
// synopsys translate_on
7463
 
7464
// Location: FF_X31_Y4_N15
7465
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[5] (
7466
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7467
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[5]~2_combout ),
7468
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [5]),
7469
        .clrn(!\comb~0clkctrl_outclk ),
7470
        .aload(gnd),
7471
        .sclr(gnd),
7472
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7473
        .ena(vcc),
7474
        .devclrn(devclrn),
7475
        .devpor(devpor),
7476
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [5]),
7477
        .prn(vcc));
7478
// synopsys translate_off
7479
defparam \datafifowrite|custom_fifo_dp5|fifo_out[5] .is_wysiwyg = "true";
7480
defparam \datafifowrite|custom_fifo_dp5|fifo_out[5] .power_up = "low";
7481
// synopsys translate_on
7482
 
7483
// Location: FF_X30_Y3_N27
7484
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[4] (
7485
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7486
        .d(gnd),
7487
        .asdata(\wb_dat_i[28]~input_o ),
7488
        .clrn(!\comb~0clkctrl_outclk ),
7489
        .aload(gnd),
7490
        .sclr(gnd),
7491
        .sload(vcc),
7492
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7493
        .devclrn(devclrn),
7494
        .devpor(devpor),
7495
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [4]),
7496
        .prn(vcc));
7497
// synopsys translate_off
7498
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[4] .is_wysiwyg = "true";
7499
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[4] .power_up = "low";
7500
// synopsys translate_on
7501
 
7502
// Location: FF_X30_Y3_N21
7503
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[4] (
7504
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7505
        .d(gnd),
7506
        .asdata(\wb_dat_i[28]~input_o ),
7507
        .clrn(!\comb~0clkctrl_outclk ),
7508
        .aload(gnd),
7509
        .sclr(gnd),
7510
        .sload(vcc),
7511
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7512
        .devclrn(devclrn),
7513
        .devpor(devpor),
7514
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [4]),
7515
        .prn(vcc));
7516
// synopsys translate_off
7517
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[4] .is_wysiwyg = "true";
7518
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[4] .power_up = "low";
7519
// synopsys translate_on
7520
 
7521
// Location: LCCOMB_X30_Y4_N30
7522
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3 (
7523
// Equation(s):
7524
// \datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [4]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
7525
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [4]))
7526
 
7527
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [4]),
7528
        .datab(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [4]),
7529
        .datac(gnd),
7530
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7531
        .cin(gnd),
7532
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3_combout ),
7533
        .cout());
7534
// synopsys translate_off
7535
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3 .lut_mask = 16'hCCAA;
7536
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3 .sum_lutc_input = "datac";
7537
// synopsys translate_on
7538
 
7539
// Location: LCCOMB_X29_Y4_N16
7540
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder (
7541
// Equation(s):
7542
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[28]~input_o
7543
 
7544
        .dataa(gnd),
7545
        .datab(gnd),
7546
        .datac(gnd),
7547
        .datad(\wb_dat_i[28]~input_o ),
7548
        .cin(gnd),
7549
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
7550
        .cout());
7551
// synopsys translate_off
7552
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
7553
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
7554
// synopsys translate_on
7555
 
7556
// Location: FF_X29_Y4_N17
7557
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4] (
7558
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7559
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
7560
        .asdata(vcc),
7561
        .clrn(!\comb~0clkctrl_outclk ),
7562
        .aload(gnd),
7563
        .sclr(gnd),
7564
        .sload(gnd),
7565
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7566
        .devclrn(devclrn),
7567
        .devpor(devpor),
7568
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [4]),
7569
        .prn(vcc));
7570
// synopsys translate_off
7571
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4] .is_wysiwyg = "true";
7572
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[4] .power_up = "low";
7573
// synopsys translate_on
7574
 
7575
// Location: FF_X30_Y4_N31
7576
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[4] (
7577
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7578
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[4]~3_combout ),
7579
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [4]),
7580
        .clrn(!\comb~0clkctrl_outclk ),
7581
        .aload(gnd),
7582
        .sclr(gnd),
7583
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7584
        .ena(vcc),
7585
        .devclrn(devclrn),
7586
        .devpor(devpor),
7587
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [4]),
7588
        .prn(vcc));
7589
// synopsys translate_off
7590
defparam \datafifowrite|custom_fifo_dp5|fifo_out[4] .is_wysiwyg = "true";
7591
defparam \datafifowrite|custom_fifo_dp5|fifo_out[4] .power_up = "low";
7592
// synopsys translate_on
7593
 
7594
// Location: LCCOMB_X30_Y3_N24
7595
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder (
7596
// Equation(s):
7597
// \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[25]~input_o
7598
 
7599
        .dataa(gnd),
7600
        .datab(gnd),
7601
        .datac(gnd),
7602
        .datad(\wb_dat_i[25]~input_o ),
7603
        .cin(gnd),
7604
        .combout(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
7605
        .cout());
7606
// synopsys translate_off
7607
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
7608
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
7609
// synopsys translate_on
7610
 
7611
// Location: FF_X30_Y3_N25
7612
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1] (
7613
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7614
        .d(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
7615
        .asdata(vcc),
7616
        .clrn(!\comb~0clkctrl_outclk ),
7617
        .aload(gnd),
7618
        .sclr(gnd),
7619
        .sload(gnd),
7620
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7621
        .devclrn(devclrn),
7622
        .devpor(devpor),
7623
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [1]),
7624
        .prn(vcc));
7625
// synopsys translate_off
7626
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1] .is_wysiwyg = "true";
7627
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[1] .power_up = "low";
7628
// synopsys translate_on
7629
 
7630
// Location: LCCOMB_X30_Y3_N2
7631
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder (
7632
// Equation(s):
7633
// \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[25]~input_o
7634
 
7635
        .dataa(gnd),
7636
        .datab(gnd),
7637
        .datac(gnd),
7638
        .datad(\wb_dat_i[25]~input_o ),
7639
        .cin(gnd),
7640
        .combout(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
7641
        .cout());
7642
// synopsys translate_off
7643
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
7644
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
7645
// synopsys translate_on
7646
 
7647
// Location: FF_X30_Y3_N3
7648
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1] (
7649
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7650
        .d(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
7651
        .asdata(vcc),
7652
        .clrn(!\comb~0clkctrl_outclk ),
7653
        .aload(gnd),
7654
        .sclr(gnd),
7655
        .sload(gnd),
7656
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7657
        .devclrn(devclrn),
7658
        .devpor(devpor),
7659
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [1]),
7660
        .prn(vcc));
7661
// synopsys translate_off
7662
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1] .is_wysiwyg = "true";
7663
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[1] .power_up = "low";
7664
// synopsys translate_on
7665
 
7666
// Location: LCCOMB_X30_Y4_N10
7667
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6 (
7668
// Equation(s):
7669
// \datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [1]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
7670
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [1]))
7671
 
7672
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [1]),
7673
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7674
        .datac(gnd),
7675
        .datad(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [1]),
7676
        .cin(gnd),
7677
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6_combout ),
7678
        .cout());
7679
// synopsys translate_off
7680
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6 .lut_mask = 16'hEE22;
7681
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6 .sum_lutc_input = "datac";
7682
// synopsys translate_on
7683
 
7684
// Location: LCCOMB_X29_Y4_N6
7685
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder (
7686
// Equation(s):
7687
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[25]~input_o
7688
 
7689
        .dataa(gnd),
7690
        .datab(gnd),
7691
        .datac(gnd),
7692
        .datad(\wb_dat_i[25]~input_o ),
7693
        .cin(gnd),
7694
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
7695
        .cout());
7696
// synopsys translate_off
7697
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
7698
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
7699
// synopsys translate_on
7700
 
7701
// Location: FF_X29_Y4_N7
7702
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1] (
7703
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7704
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
7705
        .asdata(vcc),
7706
        .clrn(!\comb~0clkctrl_outclk ),
7707
        .aload(gnd),
7708
        .sclr(gnd),
7709
        .sload(gnd),
7710
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7711
        .devclrn(devclrn),
7712
        .devpor(devpor),
7713
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [1]),
7714
        .prn(vcc));
7715
// synopsys translate_off
7716
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1] .is_wysiwyg = "true";
7717
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[1] .power_up = "low";
7718
// synopsys translate_on
7719
 
7720
// Location: FF_X30_Y4_N11
7721
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[1] (
7722
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7723
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[1]~6_combout ),
7724
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [1]),
7725
        .clrn(!\comb~0clkctrl_outclk ),
7726
        .aload(gnd),
7727
        .sclr(gnd),
7728
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7729
        .ena(vcc),
7730
        .devclrn(devclrn),
7731
        .devpor(devpor),
7732
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [1]),
7733
        .prn(vcc));
7734
// synopsys translate_off
7735
defparam \datafifowrite|custom_fifo_dp5|fifo_out[1] .is_wysiwyg = "true";
7736
defparam \datafifowrite|custom_fifo_dp5|fifo_out[1] .power_up = "low";
7737
// synopsys translate_on
7738
 
7739
// Location: FF_X31_Y5_N1
7740
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[3] (
7741
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7742
        .d(gnd),
7743
        .asdata(\wb_dat_i[19]~input_o ),
7744
        .clrn(!\comb~0clkctrl_outclk ),
7745
        .aload(gnd),
7746
        .sclr(gnd),
7747
        .sload(vcc),
7748
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7749
        .devclrn(devclrn),
7750
        .devpor(devpor),
7751
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [3]),
7752
        .prn(vcc));
7753
// synopsys translate_off
7754
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[3] .is_wysiwyg = "true";
7755
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[3] .power_up = "low";
7756
// synopsys translate_on
7757
 
7758
// Location: FF_X31_Y5_N27
7759
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[3] (
7760
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7761
        .d(gnd),
7762
        .asdata(\wb_dat_i[19]~input_o ),
7763
        .clrn(!\comb~0clkctrl_outclk ),
7764
        .aload(gnd),
7765
        .sclr(gnd),
7766
        .sload(vcc),
7767
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7768
        .devclrn(devclrn),
7769
        .devpor(devpor),
7770
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [3]),
7771
        .prn(vcc));
7772
// synopsys translate_off
7773
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[3] .is_wysiwyg = "true";
7774
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[3] .power_up = "low";
7775
// synopsys translate_on
7776
 
7777
// Location: LCCOMB_X30_Y5_N4
7778
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4 (
7779
// Equation(s):
7780
// \datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [3]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
7781
// (\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [3]))
7782
 
7783
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7784
        .datab(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [3]),
7785
        .datac(gnd),
7786
        .datad(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [3]),
7787
        .cin(gnd),
7788
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4_combout ),
7789
        .cout());
7790
// synopsys translate_off
7791
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4 .lut_mask = 16'hEE44;
7792
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4 .sum_lutc_input = "datac";
7793
// synopsys translate_on
7794
 
7795
// Location: LCCOMB_X29_Y5_N6
7796
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder (
7797
// Equation(s):
7798
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[19]~input_o
7799
 
7800
        .dataa(gnd),
7801
        .datab(gnd),
7802
        .datac(\wb_dat_i[19]~input_o ),
7803
        .datad(gnd),
7804
        .cin(gnd),
7805
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
7806
        .cout());
7807
// synopsys translate_off
7808
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hF0F0;
7809
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
7810
// synopsys translate_on
7811
 
7812
// Location: FF_X29_Y5_N7
7813
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3] (
7814
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7815
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
7816
        .asdata(vcc),
7817
        .clrn(!\comb~0clkctrl_outclk ),
7818
        .aload(gnd),
7819
        .sclr(gnd),
7820
        .sload(gnd),
7821
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7822
        .devclrn(devclrn),
7823
        .devpor(devpor),
7824
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [3]),
7825
        .prn(vcc));
7826
// synopsys translate_off
7827
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3] .is_wysiwyg = "true";
7828
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[3] .power_up = "low";
7829
// synopsys translate_on
7830
 
7831
// Location: FF_X30_Y5_N5
7832
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[3] (
7833
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7834
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[3]~4_combout ),
7835
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [3]),
7836
        .clrn(!\comb~0clkctrl_outclk ),
7837
        .aload(gnd),
7838
        .sclr(gnd),
7839
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7840
        .ena(vcc),
7841
        .devclrn(devclrn),
7842
        .devpor(devpor),
7843
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [3]),
7844
        .prn(vcc));
7845
// synopsys translate_off
7846
defparam \datafifowrite|custom_fifo_dp6|fifo_out[3] .is_wysiwyg = "true";
7847
defparam \datafifowrite|custom_fifo_dp6|fifo_out[3] .power_up = "low";
7848
// synopsys translate_on
7849
 
7850
// Location: FF_X32_Y4_N31
7851
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[0] (
7852
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7853
        .d(gnd),
7854
        .asdata(\wb_dat_i[16]~input_o ),
7855
        .clrn(!\comb~0clkctrl_outclk ),
7856
        .aload(gnd),
7857
        .sclr(gnd),
7858
        .sload(vcc),
7859
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7860
        .devclrn(devclrn),
7861
        .devpor(devpor),
7862
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [0]),
7863
        .prn(vcc));
7864
// synopsys translate_off
7865
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[0] .is_wysiwyg = "true";
7866
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[0] .power_up = "low";
7867
// synopsys translate_on
7868
 
7869
// Location: FF_X32_Y4_N17
7870
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[0] (
7871
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7872
        .d(gnd),
7873
        .asdata(\wb_dat_i[16]~input_o ),
7874
        .clrn(!\comb~0clkctrl_outclk ),
7875
        .aload(gnd),
7876
        .sclr(gnd),
7877
        .sload(vcc),
7878
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7879
        .devclrn(devclrn),
7880
        .devpor(devpor),
7881
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [0]),
7882
        .prn(vcc));
7883
// synopsys translate_off
7884
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[0] .is_wysiwyg = "true";
7885
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[0] .power_up = "low";
7886
// synopsys translate_on
7887
 
7888
// Location: LCCOMB_X31_Y4_N12
7889
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7 (
7890
// Equation(s):
7891
// \datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [0]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
7892
// (\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [0]))
7893
 
7894
        .dataa(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [0]),
7895
        .datab(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [0]),
7896
        .datac(gnd),
7897
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
7898
        .cin(gnd),
7899
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7_combout ),
7900
        .cout());
7901
// synopsys translate_off
7902
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7 .lut_mask = 16'hCCAA;
7903
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7 .sum_lutc_input = "datac";
7904
// synopsys translate_on
7905
 
7906
// Location: LCCOMB_X34_Y4_N18
7907
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder (
7908
// Equation(s):
7909
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[16]~input_o
7910
 
7911
        .dataa(gnd),
7912
        .datab(gnd),
7913
        .datac(\wb_dat_i[16]~input_o ),
7914
        .datad(gnd),
7915
        .cin(gnd),
7916
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
7917
        .cout());
7918
// synopsys translate_off
7919
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hF0F0;
7920
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
7921
// synopsys translate_on
7922
 
7923
// Location: FF_X34_Y4_N19
7924
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0] (
7925
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7926
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
7927
        .asdata(vcc),
7928
        .clrn(!\comb~0clkctrl_outclk ),
7929
        .aload(gnd),
7930
        .sclr(gnd),
7931
        .sload(gnd),
7932
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
7933
        .devclrn(devclrn),
7934
        .devpor(devpor),
7935
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [0]),
7936
        .prn(vcc));
7937
// synopsys translate_off
7938
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0] .is_wysiwyg = "true";
7939
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[0] .power_up = "low";
7940
// synopsys translate_on
7941
 
7942
// Location: FF_X31_Y4_N13
7943
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[0] (
7944
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7945
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[0]~7_combout ),
7946
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [0]),
7947
        .clrn(!\comb~0clkctrl_outclk ),
7948
        .aload(gnd),
7949
        .sclr(gnd),
7950
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
7951
        .ena(vcc),
7952
        .devclrn(devclrn),
7953
        .devpor(devpor),
7954
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [0]),
7955
        .prn(vcc));
7956
// synopsys translate_off
7957
defparam \datafifowrite|custom_fifo_dp6|fifo_out[0] .is_wysiwyg = "true";
7958
defparam \datafifowrite|custom_fifo_dp6|fifo_out[0] .power_up = "low";
7959
// synopsys translate_on
7960
 
7961
// Location: FF_X35_Y5_N13
7962
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[2] (
7963
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7964
        .d(gnd),
7965
        .asdata(\wb_dat_i[10]~input_o ),
7966
        .clrn(!\comb~0clkctrl_outclk ),
7967
        .aload(gnd),
7968
        .sclr(gnd),
7969
        .sload(vcc),
7970
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
7971
        .devclrn(devclrn),
7972
        .devpor(devpor),
7973
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [2]),
7974
        .prn(vcc));
7975
// synopsys translate_off
7976
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[2] .is_wysiwyg = "true";
7977
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[2] .power_up = "low";
7978
// synopsys translate_on
7979
 
7980
// Location: FF_X35_Y5_N23
7981
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[2] (
7982
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
7983
        .d(gnd),
7984
        .asdata(\wb_dat_i[10]~input_o ),
7985
        .clrn(!\comb~0clkctrl_outclk ),
7986
        .aload(gnd),
7987
        .sclr(gnd),
7988
        .sload(vcc),
7989
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
7990
        .devclrn(devclrn),
7991
        .devpor(devpor),
7992
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [2]),
7993
        .prn(vcc));
7994
// synopsys translate_off
7995
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[2] .is_wysiwyg = "true";
7996
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[2] .power_up = "low";
7997
// synopsys translate_on
7998
 
7999
// Location: LCCOMB_X34_Y5_N26
8000
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5 (
8001
// Equation(s):
8002
// \datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [2])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8003
// ((\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [2])))
8004
 
8005
        .dataa(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [2]),
8006
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8007
        .datac(gnd),
8008
        .datad(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [2]),
8009
        .cin(gnd),
8010
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5_combout ),
8011
        .cout());
8012
// synopsys translate_off
8013
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5 .lut_mask = 16'hBB88;
8014
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5 .sum_lutc_input = "datac";
8015
// synopsys translate_on
8016
 
8017
// Location: LCCOMB_X32_Y5_N22
8018
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder (
8019
// Equation(s):
8020
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[10]~input_o
8021
 
8022
        .dataa(gnd),
8023
        .datab(gnd),
8024
        .datac(gnd),
8025
        .datad(\wb_dat_i[10]~input_o ),
8026
        .cin(gnd),
8027
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
8028
        .cout());
8029
// synopsys translate_off
8030
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hFF00;
8031
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
8032
// synopsys translate_on
8033
 
8034
// Location: FF_X32_Y5_N23
8035
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2] (
8036
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8037
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
8038
        .asdata(vcc),
8039
        .clrn(!\comb~0clkctrl_outclk ),
8040
        .aload(gnd),
8041
        .sclr(gnd),
8042
        .sload(gnd),
8043
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8044
        .devclrn(devclrn),
8045
        .devpor(devpor),
8046
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [2]),
8047
        .prn(vcc));
8048
// synopsys translate_off
8049
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2] .is_wysiwyg = "true";
8050
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[2] .power_up = "low";
8051
// synopsys translate_on
8052
 
8053
// Location: FF_X34_Y5_N27
8054
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[2] (
8055
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8056
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[2]~5_combout ),
8057
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [2]),
8058
        .clrn(!\comb~0clkctrl_outclk ),
8059
        .aload(gnd),
8060
        .sclr(gnd),
8061
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8062
        .ena(vcc),
8063
        .devclrn(devclrn),
8064
        .devpor(devpor),
8065
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [2]),
8066
        .prn(vcc));
8067
// synopsys translate_off
8068
defparam \datafifowrite|custom_fifo_dp7|fifo_out[2] .is_wysiwyg = "true";
8069
defparam \datafifowrite|custom_fifo_dp7|fifo_out[2] .power_up = "low";
8070
// synopsys translate_on
8071
 
8072
// Location: LCCOMB_X35_Y5_N6
8073
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder (
8074
// Equation(s):
8075
// \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[8]~input_o
8076
 
8077
        .dataa(gnd),
8078
        .datab(gnd),
8079
        .datac(gnd),
8080
        .datad(\wb_dat_i[8]~input_o ),
8081
        .cin(gnd),
8082
        .combout(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
8083
        .cout());
8084
// synopsys translate_off
8085
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
8086
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8087
// synopsys translate_on
8088
 
8089
// Location: FF_X35_Y5_N7
8090
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0] (
8091
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8092
        .d(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
8093
        .asdata(vcc),
8094
        .clrn(!\comb~0clkctrl_outclk ),
8095
        .aload(gnd),
8096
        .sclr(gnd),
8097
        .sload(gnd),
8098
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8099
        .devclrn(devclrn),
8100
        .devpor(devpor),
8101
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [0]),
8102
        .prn(vcc));
8103
// synopsys translate_off
8104
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8105
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[0] .power_up = "low";
8106
// synopsys translate_on
8107
 
8108
// Location: LCCOMB_X35_Y5_N28
8109
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder (
8110
// Equation(s):
8111
// \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[8]~input_o
8112
 
8113
        .dataa(gnd),
8114
        .datab(gnd),
8115
        .datac(gnd),
8116
        .datad(\wb_dat_i[8]~input_o ),
8117
        .cin(gnd),
8118
        .combout(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
8119
        .cout());
8120
// synopsys translate_off
8121
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
8122
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8123
// synopsys translate_on
8124
 
8125
// Location: FF_X35_Y5_N29
8126
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0] (
8127
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8128
        .d(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
8129
        .asdata(vcc),
8130
        .clrn(!\comb~0clkctrl_outclk ),
8131
        .aload(gnd),
8132
        .sclr(gnd),
8133
        .sload(gnd),
8134
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8135
        .devclrn(devclrn),
8136
        .devpor(devpor),
8137
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [0]),
8138
        .prn(vcc));
8139
// synopsys translate_off
8140
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8141
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[0] .power_up = "low";
8142
// synopsys translate_on
8143
 
8144
// Location: LCCOMB_X34_Y5_N14
8145
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7 (
8146
// Equation(s):
8147
// \datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [0]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8148
// (\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [0]))
8149
 
8150
        .dataa(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [0]),
8151
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8152
        .datac(gnd),
8153
        .datad(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [0]),
8154
        .cin(gnd),
8155
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7_combout ),
8156
        .cout());
8157
// synopsys translate_off
8158
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7 .lut_mask = 16'hEE22;
8159
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7 .sum_lutc_input = "datac";
8160
// synopsys translate_on
8161
 
8162
// Location: LCCOMB_X32_Y5_N18
8163
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder (
8164
// Equation(s):
8165
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[8]~input_o
8166
 
8167
        .dataa(gnd),
8168
        .datab(gnd),
8169
        .datac(gnd),
8170
        .datad(\wb_dat_i[8]~input_o ),
8171
        .cin(gnd),
8172
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
8173
        .cout());
8174
// synopsys translate_off
8175
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
8176
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8177
// synopsys translate_on
8178
 
8179
// Location: FF_X32_Y5_N19
8180
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0] (
8181
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8182
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
8183
        .asdata(vcc),
8184
        .clrn(!\comb~0clkctrl_outclk ),
8185
        .aload(gnd),
8186
        .sclr(gnd),
8187
        .sload(gnd),
8188
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8189
        .devclrn(devclrn),
8190
        .devpor(devpor),
8191
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [0]),
8192
        .prn(vcc));
8193
// synopsys translate_off
8194
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8195
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[0] .power_up = "low";
8196
// synopsys translate_on
8197
 
8198
// Location: FF_X34_Y5_N15
8199
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[0] (
8200
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8201
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[0]~7_combout ),
8202
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [0]),
8203
        .clrn(!\comb~0clkctrl_outclk ),
8204
        .aload(gnd),
8205
        .sclr(gnd),
8206
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8207
        .ena(vcc),
8208
        .devclrn(devclrn),
8209
        .devpor(devpor),
8210
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [0]),
8211
        .prn(vcc));
8212
// synopsys translate_off
8213
defparam \datafifowrite|custom_fifo_dp7|fifo_out[0] .is_wysiwyg = "true";
8214
defparam \datafifowrite|custom_fifo_dp7|fifo_out[0] .power_up = "low";
8215
// synopsys translate_on
8216
 
8217
// Location: LCCOMB_X32_Y3_N26
8218
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder (
8219
// Equation(s):
8220
// \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[5]~input_o
8221
 
8222
        .dataa(gnd),
8223
        .datab(gnd),
8224
        .datac(gnd),
8225
        .datad(\wb_dat_i[5]~input_o ),
8226
        .cin(gnd),
8227
        .combout(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
8228
        .cout());
8229
// synopsys translate_off
8230
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
8231
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
8232
// synopsys translate_on
8233
 
8234
// Location: FF_X32_Y3_N27
8235
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5] (
8236
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8237
        .d(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
8238
        .asdata(vcc),
8239
        .clrn(!\comb~0clkctrl_outclk ),
8240
        .aload(gnd),
8241
        .sclr(gnd),
8242
        .sload(gnd),
8243
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8244
        .devclrn(devclrn),
8245
        .devpor(devpor),
8246
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [5]),
8247
        .prn(vcc));
8248
// synopsys translate_off
8249
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5] .is_wysiwyg = "true";
8250
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[5] .power_up = "low";
8251
// synopsys translate_on
8252
 
8253
// Location: LCCOMB_X29_Y4_N18
8254
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder (
8255
// Equation(s):
8256
// \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[5]~input_o
8257
 
8258
        .dataa(gnd),
8259
        .datab(gnd),
8260
        .datac(gnd),
8261
        .datad(\wb_dat_i[5]~input_o ),
8262
        .cin(gnd),
8263
        .combout(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
8264
        .cout());
8265
// synopsys translate_off
8266
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
8267
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
8268
// synopsys translate_on
8269
 
8270
// Location: FF_X29_Y4_N19
8271
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5] (
8272
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8273
        .d(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
8274
        .asdata(vcc),
8275
        .clrn(!\comb~0clkctrl_outclk ),
8276
        .aload(gnd),
8277
        .sclr(gnd),
8278
        .sload(gnd),
8279
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8280
        .devclrn(devclrn),
8281
        .devpor(devpor),
8282
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [5]),
8283
        .prn(vcc));
8284
// synopsys translate_off
8285
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5] .is_wysiwyg = "true";
8286
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[5] .power_up = "low";
8287
// synopsys translate_on
8288
 
8289
// Location: LCCOMB_X30_Y5_N10
8290
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2 (
8291
// Equation(s):
8292
// \datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [5]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8293
// (\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [5]))
8294
 
8295
        .dataa(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [5]),
8296
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8297
        .datac(gnd),
8298
        .datad(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [5]),
8299
        .cin(gnd),
8300
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2_combout ),
8301
        .cout());
8302
// synopsys translate_off
8303
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2 .lut_mask = 16'hEE22;
8304
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2 .sum_lutc_input = "datac";
8305
// synopsys translate_on
8306
 
8307
// Location: LCCOMB_X29_Y5_N4
8308
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder (
8309
// Equation(s):
8310
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[5]~input_o
8311
 
8312
        .dataa(gnd),
8313
        .datab(gnd),
8314
        .datac(\wb_dat_i[5]~input_o ),
8315
        .datad(gnd),
8316
        .cin(gnd),
8317
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
8318
        .cout());
8319
// synopsys translate_off
8320
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hF0F0;
8321
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
8322
// synopsys translate_on
8323
 
8324
// Location: FF_X29_Y5_N5
8325
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5] (
8326
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8327
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
8328
        .asdata(vcc),
8329
        .clrn(!\comb~0clkctrl_outclk ),
8330
        .aload(gnd),
8331
        .sclr(gnd),
8332
        .sload(gnd),
8333
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8334
        .devclrn(devclrn),
8335
        .devpor(devpor),
8336
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [5]),
8337
        .prn(vcc));
8338
// synopsys translate_off
8339
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5] .is_wysiwyg = "true";
8340
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[5] .power_up = "low";
8341
// synopsys translate_on
8342
 
8343
// Location: FF_X30_Y5_N11
8344
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[5] (
8345
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8346
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[5]~2_combout ),
8347
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [5]),
8348
        .clrn(!\comb~0clkctrl_outclk ),
8349
        .aload(gnd),
8350
        .sclr(gnd),
8351
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8352
        .ena(vcc),
8353
        .devclrn(devclrn),
8354
        .devpor(devpor),
8355
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [5]),
8356
        .prn(vcc));
8357
// synopsys translate_off
8358
defparam \datafifowrite|custom_fifo_dp8|fifo_out[5] .is_wysiwyg = "true";
8359
defparam \datafifowrite|custom_fifo_dp8|fifo_out[5] .power_up = "low";
8360
// synopsys translate_on
8361
 
8362
// Location: LCCOMB_X29_Y5_N12
8363
cycloneiv_lcell_comb \word_out~31 (
8364
// Equation(s):
8365
// \word_out~31_combout  = (word_out[0] & !\state.110~q )
8366
 
8367
        .dataa(gnd),
8368
        .datab(gnd),
8369
        .datac(word_out[0]),
8370
        .datad(\state.110~q ),
8371
        .cin(gnd),
8372
        .combout(\word_out~31_combout ),
8373
        .cout());
8374
// synopsys translate_off
8375
defparam \word_out~31 .lut_mask = 16'h00F0;
8376
defparam \word_out~31 .sum_lutc_input = "datac";
8377
// synopsys translate_on
8378
 
8379
// Location: LCCOMB_X31_Y5_N2
8380
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder (
8381
// Equation(s):
8382
// \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[0]~input_o
8383
 
8384
        .dataa(gnd),
8385
        .datab(gnd),
8386
        .datac(gnd),
8387
        .datad(\wb_dat_i[0]~input_o ),
8388
        .cin(gnd),
8389
        .combout(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
8390
        .cout());
8391
// synopsys translate_off
8392
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
8393
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8394
// synopsys translate_on
8395
 
8396
// Location: FF_X31_Y5_N3
8397
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0] (
8398
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8399
        .d(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
8400
        .asdata(vcc),
8401
        .clrn(!\comb~0clkctrl_outclk ),
8402
        .aload(gnd),
8403
        .sclr(gnd),
8404
        .sload(gnd),
8405
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8406
        .devclrn(devclrn),
8407
        .devpor(devpor),
8408
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [0]),
8409
        .prn(vcc));
8410
// synopsys translate_off
8411
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8412
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[0] .power_up = "low";
8413
// synopsys translate_on
8414
 
8415
// Location: LCCOMB_X31_Y5_N16
8416
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder (
8417
// Equation(s):
8418
// \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[0]~input_o
8419
 
8420
        .dataa(gnd),
8421
        .datab(gnd),
8422
        .datac(gnd),
8423
        .datad(\wb_dat_i[0]~input_o ),
8424
        .cin(gnd),
8425
        .combout(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
8426
        .cout());
8427
// synopsys translate_off
8428
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
8429
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8430
// synopsys translate_on
8431
 
8432
// Location: FF_X31_Y5_N17
8433
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0] (
8434
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8435
        .d(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
8436
        .asdata(vcc),
8437
        .clrn(!\comb~0clkctrl_outclk ),
8438
        .aload(gnd),
8439
        .sclr(gnd),
8440
        .sload(gnd),
8441
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8442
        .devclrn(devclrn),
8443
        .devpor(devpor),
8444
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [0]),
8445
        .prn(vcc));
8446
// synopsys translate_off
8447
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8448
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[0] .power_up = "low";
8449
// synopsys translate_on
8450
 
8451
// Location: LCCOMB_X30_Y5_N0
8452
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7 (
8453
// Equation(s):
8454
// \datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [0]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8455
// (\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [0]))
8456
 
8457
        .dataa(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [0]),
8458
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8459
        .datac(gnd),
8460
        .datad(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [0]),
8461
        .cin(gnd),
8462
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7_combout ),
8463
        .cout());
8464
// synopsys translate_off
8465
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7 .lut_mask = 16'hEE22;
8466
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7 .sum_lutc_input = "datac";
8467
// synopsys translate_on
8468
 
8469
// Location: LCCOMB_X29_Y5_N14
8470
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder (
8471
// Equation(s):
8472
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[0]~input_o
8473
 
8474
        .dataa(gnd),
8475
        .datab(gnd),
8476
        .datac(\wb_dat_i[0]~input_o ),
8477
        .datad(gnd),
8478
        .cin(gnd),
8479
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
8480
        .cout());
8481
// synopsys translate_off
8482
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hF0F0;
8483
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
8484
// synopsys translate_on
8485
 
8486
// Location: FF_X29_Y5_N15
8487
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0] (
8488
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8489
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
8490
        .asdata(vcc),
8491
        .clrn(!\comb~0clkctrl_outclk ),
8492
        .aload(gnd),
8493
        .sclr(gnd),
8494
        .sload(gnd),
8495
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8496
        .devclrn(devclrn),
8497
        .devpor(devpor),
8498
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [0]),
8499
        .prn(vcc));
8500
// synopsys translate_off
8501
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0] .is_wysiwyg = "true";
8502
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[0] .power_up = "low";
8503
// synopsys translate_on
8504
 
8505
// Location: FF_X30_Y5_N1
8506
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[0] (
8507
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8508
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[0]~7_combout ),
8509
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [0]),
8510
        .clrn(!\comb~0clkctrl_outclk ),
8511
        .aload(gnd),
8512
        .sclr(gnd),
8513
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8514
        .ena(vcc),
8515
        .devclrn(devclrn),
8516
        .devpor(devpor),
8517
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [0]),
8518
        .prn(vcc));
8519
// synopsys translate_off
8520
defparam \datafifowrite|custom_fifo_dp8|fifo_out[0] .is_wysiwyg = "true";
8521
defparam \datafifowrite|custom_fifo_dp8|fifo_out[0] .power_up = "low";
8522
// synopsys translate_on
8523
 
8524
// Location: FF_X29_Y5_N13
8525
dffeas \word_out[0] (
8526
        .clk(\wb_clk_i~inputclkctrl_outclk ),
8527
        .d(\word_out~31_combout ),
8528
        .asdata(\datafifowrite|custom_fifo_dp8|fifo_out [0]),
8529
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
8530
        .aload(gnd),
8531
        .sclr(gnd),
8532
        .sload(\state.001~q ),
8533
        .ena(vcc),
8534
        .devclrn(devclrn),
8535
        .devpor(devpor),
8536
        .q(word_out[0]),
8537
        .prn(vcc));
8538
// synopsys translate_off
8539
defparam \word_out[0] .is_wysiwyg = "true";
8540
defparam \word_out[0] .power_up = "low";
8541
// synopsys translate_on
8542
 
8543
// Location: LCCOMB_X29_Y4_N24
8544
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder (
8545
// Equation(s):
8546
// \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[1]~input_o
8547
 
8548
        .dataa(gnd),
8549
        .datab(gnd),
8550
        .datac(gnd),
8551
        .datad(\wb_dat_i[1]~input_o ),
8552
        .cin(gnd),
8553
        .combout(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
8554
        .cout());
8555
// synopsys translate_off
8556
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
8557
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
8558
// synopsys translate_on
8559
 
8560
// Location: FF_X29_Y4_N25
8561
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1] (
8562
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8563
        .d(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
8564
        .asdata(vcc),
8565
        .clrn(!\comb~0clkctrl_outclk ),
8566
        .aload(gnd),
8567
        .sclr(gnd),
8568
        .sload(gnd),
8569
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8570
        .devclrn(devclrn),
8571
        .devpor(devpor),
8572
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [1]),
8573
        .prn(vcc));
8574
// synopsys translate_off
8575
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1] .is_wysiwyg = "true";
8576
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[1] .power_up = "low";
8577
// synopsys translate_on
8578
 
8579
// Location: LCCOMB_X31_Y5_N18
8580
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder (
8581
// Equation(s):
8582
// \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[1]~input_o
8583
 
8584
        .dataa(gnd),
8585
        .datab(gnd),
8586
        .datac(gnd),
8587
        .datad(\wb_dat_i[1]~input_o ),
8588
        .cin(gnd),
8589
        .combout(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
8590
        .cout());
8591
// synopsys translate_off
8592
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
8593
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
8594
// synopsys translate_on
8595
 
8596
// Location: FF_X31_Y5_N19
8597
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1] (
8598
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8599
        .d(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
8600
        .asdata(vcc),
8601
        .clrn(!\comb~0clkctrl_outclk ),
8602
        .aload(gnd),
8603
        .sclr(gnd),
8604
        .sload(gnd),
8605
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8606
        .devclrn(devclrn),
8607
        .devpor(devpor),
8608
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [1]),
8609
        .prn(vcc));
8610
// synopsys translate_off
8611
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1] .is_wysiwyg = "true";
8612
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[1] .power_up = "low";
8613
// synopsys translate_on
8614
 
8615
// Location: LCCOMB_X30_Y5_N2
8616
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6 (
8617
// Equation(s):
8618
// \datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [1])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8619
// ((\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [1])))
8620
 
8621
        .dataa(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [1]),
8622
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8623
        .datac(gnd),
8624
        .datad(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [1]),
8625
        .cin(gnd),
8626
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6_combout ),
8627
        .cout());
8628
// synopsys translate_off
8629
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6 .lut_mask = 16'hBB88;
8630
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6 .sum_lutc_input = "datac";
8631
// synopsys translate_on
8632
 
8633
// Location: LCCOMB_X29_Y5_N24
8634
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder (
8635
// Equation(s):
8636
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[1]~input_o
8637
 
8638
        .dataa(gnd),
8639
        .datab(gnd),
8640
        .datac(gnd),
8641
        .datad(\wb_dat_i[1]~input_o ),
8642
        .cin(gnd),
8643
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
8644
        .cout());
8645
// synopsys translate_off
8646
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
8647
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
8648
// synopsys translate_on
8649
 
8650
// Location: FF_X29_Y5_N25
8651
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1] (
8652
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8653
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
8654
        .asdata(vcc),
8655
        .clrn(!\comb~0clkctrl_outclk ),
8656
        .aload(gnd),
8657
        .sclr(gnd),
8658
        .sload(gnd),
8659
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8660
        .devclrn(devclrn),
8661
        .devpor(devpor),
8662
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [1]),
8663
        .prn(vcc));
8664
// synopsys translate_off
8665
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1] .is_wysiwyg = "true";
8666
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[1] .power_up = "low";
8667
// synopsys translate_on
8668
 
8669
// Location: FF_X30_Y5_N3
8670
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[1] (
8671
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8672
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[1]~6_combout ),
8673
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [1]),
8674
        .clrn(!\comb~0clkctrl_outclk ),
8675
        .aload(gnd),
8676
        .sclr(gnd),
8677
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8678
        .ena(vcc),
8679
        .devclrn(devclrn),
8680
        .devpor(devpor),
8681
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [1]),
8682
        .prn(vcc));
8683
// synopsys translate_off
8684
defparam \datafifowrite|custom_fifo_dp8|fifo_out[1] .is_wysiwyg = "true";
8685
defparam \datafifowrite|custom_fifo_dp8|fifo_out[1] .power_up = "low";
8686
// synopsys translate_on
8687
 
8688
// Location: LCCOMB_X30_Y5_N22
8689
cycloneiv_lcell_comb \word_out~30 (
8690
// Equation(s):
8691
// \word_out~30_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [1]))) # (!\state.001~q  & (word_out[0]))
8692
 
8693
        .dataa(word_out[0]),
8694
        .datab(\state.001~q ),
8695
        .datac(gnd),
8696
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [1]),
8697
        .cin(gnd),
8698
        .combout(\word_out~30_combout ),
8699
        .cout());
8700
// synopsys translate_off
8701
defparam \word_out~30 .lut_mask = 16'hEE22;
8702
defparam \word_out~30 .sum_lutc_input = "datac";
8703
// synopsys translate_on
8704
 
8705
// Location: FF_X30_Y5_N23
8706
dffeas \word_out[1] (
8707
        .clk(\wb_clk_i~inputclkctrl_outclk ),
8708
        .d(\word_out~30_combout ),
8709
        .asdata(vcc),
8710
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
8711
        .aload(gnd),
8712
        .sclr(gnd),
8713
        .sload(gnd),
8714
        .ena(\bitCountReg[3]~7_combout ),
8715
        .devclrn(devclrn),
8716
        .devpor(devpor),
8717
        .q(word_out[1]),
8718
        .prn(vcc));
8719
// synopsys translate_off
8720
defparam \word_out[1] .is_wysiwyg = "true";
8721
defparam \word_out[1] .power_up = "low";
8722
// synopsys translate_on
8723
 
8724
// Location: FF_X31_Y5_N5
8725
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[2] (
8726
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8727
        .d(gnd),
8728
        .asdata(\wb_dat_i[2]~input_o ),
8729
        .clrn(!\comb~0clkctrl_outclk ),
8730
        .aload(gnd),
8731
        .sclr(gnd),
8732
        .sload(vcc),
8733
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8734
        .devclrn(devclrn),
8735
        .devpor(devpor),
8736
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [2]),
8737
        .prn(vcc));
8738
// synopsys translate_off
8739
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[2] .is_wysiwyg = "true";
8740
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[2] .power_up = "low";
8741
// synopsys translate_on
8742
 
8743
// Location: FF_X31_Y5_N11
8744
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[2] (
8745
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8746
        .d(gnd),
8747
        .asdata(\wb_dat_i[2]~input_o ),
8748
        .clrn(!\comb~0clkctrl_outclk ),
8749
        .aload(gnd),
8750
        .sclr(gnd),
8751
        .sload(vcc),
8752
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8753
        .devclrn(devclrn),
8754
        .devpor(devpor),
8755
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [2]),
8756
        .prn(vcc));
8757
// synopsys translate_off
8758
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[2] .is_wysiwyg = "true";
8759
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[2] .power_up = "low";
8760
// synopsys translate_on
8761
 
8762
// Location: LCCOMB_X30_Y5_N16
8763
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5 (
8764
// Equation(s):
8765
// \datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [2]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8766
// (\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [2]))
8767
 
8768
        .dataa(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [2]),
8769
        .datab(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [2]),
8770
        .datac(gnd),
8771
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8772
        .cin(gnd),
8773
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5_combout ),
8774
        .cout());
8775
// synopsys translate_off
8776
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5 .lut_mask = 16'hCCAA;
8777
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5 .sum_lutc_input = "datac";
8778
// synopsys translate_on
8779
 
8780
// Location: LCCOMB_X29_Y5_N26
8781
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder (
8782
// Equation(s):
8783
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[2]~input_o
8784
 
8785
        .dataa(gnd),
8786
        .datab(gnd),
8787
        .datac(gnd),
8788
        .datad(\wb_dat_i[2]~input_o ),
8789
        .cin(gnd),
8790
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
8791
        .cout());
8792
// synopsys translate_off
8793
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hFF00;
8794
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
8795
// synopsys translate_on
8796
 
8797
// Location: FF_X29_Y5_N27
8798
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2] (
8799
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8800
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
8801
        .asdata(vcc),
8802
        .clrn(!\comb~0clkctrl_outclk ),
8803
        .aload(gnd),
8804
        .sclr(gnd),
8805
        .sload(gnd),
8806
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8807
        .devclrn(devclrn),
8808
        .devpor(devpor),
8809
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [2]),
8810
        .prn(vcc));
8811
// synopsys translate_off
8812
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2] .is_wysiwyg = "true";
8813
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[2] .power_up = "low";
8814
// synopsys translate_on
8815
 
8816
// Location: FF_X30_Y5_N17
8817
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[2] (
8818
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8819
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[2]~5_combout ),
8820
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [2]),
8821
        .clrn(!\comb~0clkctrl_outclk ),
8822
        .aload(gnd),
8823
        .sclr(gnd),
8824
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
8825
        .ena(vcc),
8826
        .devclrn(devclrn),
8827
        .devpor(devpor),
8828
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [2]),
8829
        .prn(vcc));
8830
// synopsys translate_off
8831
defparam \datafifowrite|custom_fifo_dp8|fifo_out[2] .is_wysiwyg = "true";
8832
defparam \datafifowrite|custom_fifo_dp8|fifo_out[2] .power_up = "low";
8833
// synopsys translate_on
8834
 
8835
// Location: LCCOMB_X30_Y5_N8
8836
cycloneiv_lcell_comb \word_out~29 (
8837
// Equation(s):
8838
// \word_out~29_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [2]))) # (!\state.001~q  & (word_out[1]))
8839
 
8840
        .dataa(gnd),
8841
        .datab(\state.001~q ),
8842
        .datac(word_out[1]),
8843
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [2]),
8844
        .cin(gnd),
8845
        .combout(\word_out~29_combout ),
8846
        .cout());
8847
// synopsys translate_off
8848
defparam \word_out~29 .lut_mask = 16'hFC30;
8849
defparam \word_out~29 .sum_lutc_input = "datac";
8850
// synopsys translate_on
8851
 
8852
// Location: FF_X30_Y5_N9
8853
dffeas \word_out[2] (
8854
        .clk(\wb_clk_i~inputclkctrl_outclk ),
8855
        .d(\word_out~29_combout ),
8856
        .asdata(vcc),
8857
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
8858
        .aload(gnd),
8859
        .sclr(gnd),
8860
        .sload(gnd),
8861
        .ena(\bitCountReg[3]~7_combout ),
8862
        .devclrn(devclrn),
8863
        .devpor(devpor),
8864
        .q(word_out[2]),
8865
        .prn(vcc));
8866
// synopsys translate_off
8867
defparam \word_out[2] .is_wysiwyg = "true";
8868
defparam \word_out[2] .power_up = "low";
8869
// synopsys translate_on
8870
 
8871
// Location: LCCOMB_X31_Y5_N6
8872
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder (
8873
// Equation(s):
8874
// \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[3]~input_o
8875
 
8876
        .dataa(gnd),
8877
        .datab(gnd),
8878
        .datac(gnd),
8879
        .datad(\wb_dat_i[3]~input_o ),
8880
        .cin(gnd),
8881
        .combout(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder_combout ),
8882
        .cout());
8883
// synopsys translate_off
8884
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hFF00;
8885
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
8886
// synopsys translate_on
8887
 
8888
// Location: FF_X31_Y5_N7
8889
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3] (
8890
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8891
        .d(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3]~feeder_combout ),
8892
        .asdata(vcc),
8893
        .clrn(!\comb~0clkctrl_outclk ),
8894
        .aload(gnd),
8895
        .sclr(gnd),
8896
        .sload(gnd),
8897
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
8898
        .devclrn(devclrn),
8899
        .devpor(devpor),
8900
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [3]),
8901
        .prn(vcc));
8902
// synopsys translate_off
8903
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3] .is_wysiwyg = "true";
8904
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[3] .power_up = "low";
8905
// synopsys translate_on
8906
 
8907
// Location: LCCOMB_X31_Y5_N28
8908
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder (
8909
// Equation(s):
8910
// \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[3]~input_o
8911
 
8912
        .dataa(gnd),
8913
        .datab(gnd),
8914
        .datac(gnd),
8915
        .datad(\wb_dat_i[3]~input_o ),
8916
        .cin(gnd),
8917
        .combout(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder_combout ),
8918
        .cout());
8919
// synopsys translate_off
8920
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hFF00;
8921
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
8922
// synopsys translate_on
8923
 
8924
// Location: FF_X31_Y5_N29
8925
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3] (
8926
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8927
        .d(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3]~feeder_combout ),
8928
        .asdata(vcc),
8929
        .clrn(!\comb~0clkctrl_outclk ),
8930
        .aload(gnd),
8931
        .sclr(gnd),
8932
        .sload(gnd),
8933
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
8934
        .devclrn(devclrn),
8935
        .devpor(devpor),
8936
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [3]),
8937
        .prn(vcc));
8938
// synopsys translate_off
8939
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3] .is_wysiwyg = "true";
8940
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[3] .power_up = "low";
8941
// synopsys translate_on
8942
 
8943
// Location: LCCOMB_X30_Y5_N6
8944
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4 (
8945
// Equation(s):
8946
// \datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [3])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
8947
// ((\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [3])))
8948
 
8949
        .dataa(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [3]),
8950
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
8951
        .datac(gnd),
8952
        .datad(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [3]),
8953
        .cin(gnd),
8954
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4_combout ),
8955
        .cout());
8956
// synopsys translate_off
8957
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4 .lut_mask = 16'hBB88;
8958
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4 .sum_lutc_input = "datac";
8959
// synopsys translate_on
8960
 
8961
// Location: LCCOMB_X29_Y5_N0
8962
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder (
8963
// Equation(s):
8964
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[3]~input_o
8965
 
8966
        .dataa(gnd),
8967
        .datab(gnd),
8968
        .datac(\wb_dat_i[3]~input_o ),
8969
        .datad(gnd),
8970
        .cin(gnd),
8971
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
8972
        .cout());
8973
// synopsys translate_off
8974
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hF0F0;
8975
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
8976
// synopsys translate_on
8977
 
8978
// Location: FF_X29_Y5_N1
8979
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3] (
8980
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
8981
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
8982
        .asdata(vcc),
8983
        .clrn(!\comb~0clkctrl_outclk ),
8984
        .aload(gnd),
8985
        .sclr(gnd),
8986
        .sload(gnd),
8987
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
8988
        .devclrn(devclrn),
8989
        .devpor(devpor),
8990
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [3]),
8991
        .prn(vcc));
8992
// synopsys translate_off
8993
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3] .is_wysiwyg = "true";
8994
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[3] .power_up = "low";
8995
// synopsys translate_on
8996
 
8997
// Location: FF_X30_Y5_N7
8998
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[3] (
8999
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9000
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[3]~4_combout ),
9001
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [3]),
9002
        .clrn(!\comb~0clkctrl_outclk ),
9003
        .aload(gnd),
9004
        .sclr(gnd),
9005
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9006
        .ena(vcc),
9007
        .devclrn(devclrn),
9008
        .devpor(devpor),
9009
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [3]),
9010
        .prn(vcc));
9011
// synopsys translate_off
9012
defparam \datafifowrite|custom_fifo_dp8|fifo_out[3] .is_wysiwyg = "true";
9013
defparam \datafifowrite|custom_fifo_dp8|fifo_out[3] .power_up = "low";
9014
// synopsys translate_on
9015
 
9016
// Location: LCCOMB_X30_Y5_N26
9017
cycloneiv_lcell_comb \word_out~28 (
9018
// Equation(s):
9019
// \word_out~28_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [3]))) # (!\state.001~q  & (word_out[2]))
9020
 
9021
        .dataa(gnd),
9022
        .datab(\state.001~q ),
9023
        .datac(word_out[2]),
9024
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [3]),
9025
        .cin(gnd),
9026
        .combout(\word_out~28_combout ),
9027
        .cout());
9028
// synopsys translate_off
9029
defparam \word_out~28 .lut_mask = 16'hFC30;
9030
defparam \word_out~28 .sum_lutc_input = "datac";
9031
// synopsys translate_on
9032
 
9033
// Location: FF_X30_Y5_N27
9034
dffeas \word_out[3] (
9035
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9036
        .d(\word_out~28_combout ),
9037
        .asdata(vcc),
9038
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9039
        .aload(gnd),
9040
        .sclr(gnd),
9041
        .sload(gnd),
9042
        .ena(\bitCountReg[3]~7_combout ),
9043
        .devclrn(devclrn),
9044
        .devpor(devpor),
9045
        .q(word_out[3]),
9046
        .prn(vcc));
9047
// synopsys translate_off
9048
defparam \word_out[3] .is_wysiwyg = "true";
9049
defparam \word_out[3] .power_up = "low";
9050
// synopsys translate_on
9051
 
9052
// Location: FF_X31_Y5_N23
9053
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[4] (
9054
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9055
        .d(gnd),
9056
        .asdata(\wb_dat_i[4]~input_o ),
9057
        .clrn(!\comb~0clkctrl_outclk ),
9058
        .aload(gnd),
9059
        .sclr(gnd),
9060
        .sload(vcc),
9061
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9062
        .devclrn(devclrn),
9063
        .devpor(devpor),
9064
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [4]),
9065
        .prn(vcc));
9066
// synopsys translate_off
9067
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[4] .is_wysiwyg = "true";
9068
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[4] .power_up = "low";
9069
// synopsys translate_on
9070
 
9071
// Location: FF_X31_Y5_N13
9072
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[4] (
9073
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9074
        .d(gnd),
9075
        .asdata(\wb_dat_i[4]~input_o ),
9076
        .clrn(!\comb~0clkctrl_outclk ),
9077
        .aload(gnd),
9078
        .sclr(gnd),
9079
        .sload(vcc),
9080
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9081
        .devclrn(devclrn),
9082
        .devpor(devpor),
9083
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [4]),
9084
        .prn(vcc));
9085
// synopsys translate_off
9086
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[4] .is_wysiwyg = "true";
9087
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[4] .power_up = "low";
9088
// synopsys translate_on
9089
 
9090
// Location: LCCOMB_X30_Y5_N24
9091
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3 (
9092
// Equation(s):
9093
// \datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [4])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9094
// ((\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [4])))
9095
 
9096
        .dataa(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [4]),
9097
        .datab(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [4]),
9098
        .datac(gnd),
9099
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9100
        .cin(gnd),
9101
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3_combout ),
9102
        .cout());
9103
// synopsys translate_off
9104
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3 .lut_mask = 16'hAACC;
9105
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3 .sum_lutc_input = "datac";
9106
// synopsys translate_on
9107
 
9108
// Location: LCCOMB_X29_Y5_N18
9109
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder (
9110
// Equation(s):
9111
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[4]~input_o
9112
 
9113
        .dataa(gnd),
9114
        .datab(gnd),
9115
        .datac(gnd),
9116
        .datad(\wb_dat_i[4]~input_o ),
9117
        .cin(gnd),
9118
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
9119
        .cout());
9120
// synopsys translate_off
9121
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
9122
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
9123
// synopsys translate_on
9124
 
9125
// Location: FF_X29_Y5_N19
9126
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4] (
9127
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9128
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
9129
        .asdata(vcc),
9130
        .clrn(!\comb~0clkctrl_outclk ),
9131
        .aload(gnd),
9132
        .sclr(gnd),
9133
        .sload(gnd),
9134
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
9135
        .devclrn(devclrn),
9136
        .devpor(devpor),
9137
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [4]),
9138
        .prn(vcc));
9139
// synopsys translate_off
9140
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4] .is_wysiwyg = "true";
9141
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[4] .power_up = "low";
9142
// synopsys translate_on
9143
 
9144
// Location: FF_X30_Y5_N25
9145
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[4] (
9146
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9147
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[4]~3_combout ),
9148
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [4]),
9149
        .clrn(!\comb~0clkctrl_outclk ),
9150
        .aload(gnd),
9151
        .sclr(gnd),
9152
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9153
        .ena(vcc),
9154
        .devclrn(devclrn),
9155
        .devpor(devpor),
9156
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [4]),
9157
        .prn(vcc));
9158
// synopsys translate_off
9159
defparam \datafifowrite|custom_fifo_dp8|fifo_out[4] .is_wysiwyg = "true";
9160
defparam \datafifowrite|custom_fifo_dp8|fifo_out[4] .power_up = "low";
9161
// synopsys translate_on
9162
 
9163
// Location: LCCOMB_X30_Y5_N28
9164
cycloneiv_lcell_comb \word_out~27 (
9165
// Equation(s):
9166
// \word_out~27_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [4]))) # (!\state.001~q  & (word_out[3]))
9167
 
9168
        .dataa(gnd),
9169
        .datab(\state.001~q ),
9170
        .datac(word_out[3]),
9171
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [4]),
9172
        .cin(gnd),
9173
        .combout(\word_out~27_combout ),
9174
        .cout());
9175
// synopsys translate_off
9176
defparam \word_out~27 .lut_mask = 16'hFC30;
9177
defparam \word_out~27 .sum_lutc_input = "datac";
9178
// synopsys translate_on
9179
 
9180
// Location: FF_X30_Y5_N29
9181
dffeas \word_out[4] (
9182
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9183
        .d(\word_out~27_combout ),
9184
        .asdata(vcc),
9185
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9186
        .aload(gnd),
9187
        .sclr(gnd),
9188
        .sload(gnd),
9189
        .ena(\bitCountReg[3]~7_combout ),
9190
        .devclrn(devclrn),
9191
        .devpor(devpor),
9192
        .q(word_out[4]),
9193
        .prn(vcc));
9194
// synopsys translate_off
9195
defparam \word_out[4] .is_wysiwyg = "true";
9196
defparam \word_out[4] .power_up = "low";
9197
// synopsys translate_on
9198
 
9199
// Location: LCCOMB_X30_Y5_N14
9200
cycloneiv_lcell_comb \word_out~26 (
9201
// Equation(s):
9202
// \word_out~26_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp8|fifo_out [5])) # (!\state.001~q  & ((word_out[4])))
9203
 
9204
        .dataa(\datafifowrite|custom_fifo_dp8|fifo_out [5]),
9205
        .datab(\state.001~q ),
9206
        .datac(gnd),
9207
        .datad(word_out[4]),
9208
        .cin(gnd),
9209
        .combout(\word_out~26_combout ),
9210
        .cout());
9211
// synopsys translate_off
9212
defparam \word_out~26 .lut_mask = 16'hBB88;
9213
defparam \word_out~26 .sum_lutc_input = "datac";
9214
// synopsys translate_on
9215
 
9216
// Location: FF_X30_Y5_N15
9217
dffeas \word_out[5] (
9218
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9219
        .d(\word_out~26_combout ),
9220
        .asdata(vcc),
9221
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9222
        .aload(gnd),
9223
        .sclr(gnd),
9224
        .sload(gnd),
9225
        .ena(\bitCountReg[3]~7_combout ),
9226
        .devclrn(devclrn),
9227
        .devpor(devpor),
9228
        .q(word_out[5]),
9229
        .prn(vcc));
9230
// synopsys translate_off
9231
defparam \word_out[5] .is_wysiwyg = "true";
9232
defparam \word_out[5] .power_up = "low";
9233
// synopsys translate_on
9234
 
9235
// Location: FF_X31_Y5_N31
9236
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[6] (
9237
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9238
        .d(gnd),
9239
        .asdata(\wb_dat_i[6]~input_o ),
9240
        .clrn(!\comb~0clkctrl_outclk ),
9241
        .aload(gnd),
9242
        .sclr(gnd),
9243
        .sload(vcc),
9244
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9245
        .devclrn(devclrn),
9246
        .devpor(devpor),
9247
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [6]),
9248
        .prn(vcc));
9249
// synopsys translate_off
9250
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[6] .is_wysiwyg = "true";
9251
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[6] .power_up = "low";
9252
// synopsys translate_on
9253
 
9254
// Location: FF_X31_Y5_N9
9255
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[6] (
9256
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9257
        .d(gnd),
9258
        .asdata(\wb_dat_i[6]~input_o ),
9259
        .clrn(!\comb~0clkctrl_outclk ),
9260
        .aload(gnd),
9261
        .sclr(gnd),
9262
        .sload(vcc),
9263
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9264
        .devclrn(devclrn),
9265
        .devpor(devpor),
9266
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [6]),
9267
        .prn(vcc));
9268
// synopsys translate_off
9269
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[6] .is_wysiwyg = "true";
9270
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[6] .power_up = "low";
9271
// synopsys translate_on
9272
 
9273
// Location: LCCOMB_X30_Y5_N20
9274
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1 (
9275
// Equation(s):
9276
// \datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [6])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9277
// ((\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [6])))
9278
 
9279
        .dataa(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [6]),
9280
        .datab(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [6]),
9281
        .datac(gnd),
9282
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9283
        .cin(gnd),
9284
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1_combout ),
9285
        .cout());
9286
// synopsys translate_off
9287
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1 .lut_mask = 16'hAACC;
9288
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1 .sum_lutc_input = "datac";
9289
// synopsys translate_on
9290
 
9291
// Location: LCCOMB_X29_Y5_N10
9292
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder (
9293
// Equation(s):
9294
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder_combout  = \wb_dat_i[6]~input_o
9295
 
9296
        .dataa(gnd),
9297
        .datab(gnd),
9298
        .datac(gnd),
9299
        .datad(\wb_dat_i[6]~input_o ),
9300
        .cin(gnd),
9301
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder_combout ),
9302
        .cout());
9303
// synopsys translate_off
9304
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder .lut_mask = 16'hFF00;
9305
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder .sum_lutc_input = "datac";
9306
// synopsys translate_on
9307
 
9308
// Location: FF_X29_Y5_N11
9309
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6] (
9310
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9311
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6]~feeder_combout ),
9312
        .asdata(vcc),
9313
        .clrn(!\comb~0clkctrl_outclk ),
9314
        .aload(gnd),
9315
        .sclr(gnd),
9316
        .sload(gnd),
9317
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
9318
        .devclrn(devclrn),
9319
        .devpor(devpor),
9320
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [6]),
9321
        .prn(vcc));
9322
// synopsys translate_off
9323
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6] .is_wysiwyg = "true";
9324
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[6] .power_up = "low";
9325
// synopsys translate_on
9326
 
9327
// Location: FF_X30_Y5_N21
9328
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[6] (
9329
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9330
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[6]~1_combout ),
9331
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [6]),
9332
        .clrn(!\comb~0clkctrl_outclk ),
9333
        .aload(gnd),
9334
        .sclr(gnd),
9335
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9336
        .ena(vcc),
9337
        .devclrn(devclrn),
9338
        .devpor(devpor),
9339
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [6]),
9340
        .prn(vcc));
9341
// synopsys translate_off
9342
defparam \datafifowrite|custom_fifo_dp8|fifo_out[6] .is_wysiwyg = "true";
9343
defparam \datafifowrite|custom_fifo_dp8|fifo_out[6] .power_up = "low";
9344
// synopsys translate_on
9345
 
9346
// Location: LCCOMB_X30_Y5_N12
9347
cycloneiv_lcell_comb \word_out~25 (
9348
// Equation(s):
9349
// \word_out~25_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [6]))) # (!\state.001~q  & (word_out[5]))
9350
 
9351
        .dataa(gnd),
9352
        .datab(\state.001~q ),
9353
        .datac(word_out[5]),
9354
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [6]),
9355
        .cin(gnd),
9356
        .combout(\word_out~25_combout ),
9357
        .cout());
9358
// synopsys translate_off
9359
defparam \word_out~25 .lut_mask = 16'hFC30;
9360
defparam \word_out~25 .sum_lutc_input = "datac";
9361
// synopsys translate_on
9362
 
9363
// Location: FF_X30_Y5_N13
9364
dffeas \word_out[6] (
9365
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9366
        .d(\word_out~25_combout ),
9367
        .asdata(vcc),
9368
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9369
        .aload(gnd),
9370
        .sclr(gnd),
9371
        .sload(gnd),
9372
        .ena(\bitCountReg[3]~7_combout ),
9373
        .devclrn(devclrn),
9374
        .devpor(devpor),
9375
        .q(word_out[6]),
9376
        .prn(vcc));
9377
// synopsys translate_off
9378
defparam \word_out[6] .is_wysiwyg = "true";
9379
defparam \word_out[6] .power_up = "low";
9380
// synopsys translate_on
9381
 
9382
// Location: FF_X31_Y5_N15
9383
dffeas \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[7] (
9384
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9385
        .d(gnd),
9386
        .asdata(\wb_dat_i[7]~input_o ),
9387
        .clrn(!\comb~0clkctrl_outclk ),
9388
        .aload(gnd),
9389
        .sclr(gnd),
9390
        .sload(vcc),
9391
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9392
        .devclrn(devclrn),
9393
        .devpor(devpor),
9394
        .q(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [7]),
9395
        .prn(vcc));
9396
// synopsys translate_off
9397
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[7] .is_wysiwyg = "true";
9398
defparam \datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg[7] .power_up = "low";
9399
// synopsys translate_on
9400
 
9401
// Location: FF_X31_Y5_N25
9402
dffeas \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[7] (
9403
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9404
        .d(gnd),
9405
        .asdata(\wb_dat_i[7]~input_o ),
9406
        .clrn(!\comb~0clkctrl_outclk ),
9407
        .aload(gnd),
9408
        .sclr(gnd),
9409
        .sload(vcc),
9410
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9411
        .devclrn(devclrn),
9412
        .devpor(devpor),
9413
        .q(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [7]),
9414
        .prn(vcc));
9415
// synopsys translate_off
9416
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[7] .is_wysiwyg = "true";
9417
defparam \datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg[7] .power_up = "low";
9418
// synopsys translate_on
9419
 
9420
// Location: LCCOMB_X30_Y5_N18
9421
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0 (
9422
// Equation(s):
9423
// \datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [7])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9424
// ((\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [7])))
9425
 
9426
        .dataa(\datafifowrite|custom_fifo_dp8|mem[1].mem_byte|byte_reg [7]),
9427
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9428
        .datac(gnd),
9429
        .datad(\datafifowrite|custom_fifo_dp8|mem[2].mem_byte|byte_reg [7]),
9430
        .cin(gnd),
9431
        .combout(\datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0_combout ),
9432
        .cout());
9433
// synopsys translate_off
9434
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0 .lut_mask = 16'hBB88;
9435
defparam \datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0 .sum_lutc_input = "datac";
9436
// synopsys translate_on
9437
 
9438
// Location: LCCOMB_X29_Y5_N16
9439
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder (
9440
// Equation(s):
9441
// \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder_combout  = \wb_dat_i[7]~input_o
9442
 
9443
        .dataa(gnd),
9444
        .datab(gnd),
9445
        .datac(\wb_dat_i[7]~input_o ),
9446
        .datad(gnd),
9447
        .cin(gnd),
9448
        .combout(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
9449
        .cout());
9450
// synopsys translate_off
9451
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder .lut_mask = 16'hF0F0;
9452
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder .sum_lutc_input = "datac";
9453
// synopsys translate_on
9454
 
9455
// Location: FF_X29_Y5_N17
9456
dffeas \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7] (
9457
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9458
        .d(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
9459
        .asdata(vcc),
9460
        .clrn(!\comb~0clkctrl_outclk ),
9461
        .aload(gnd),
9462
        .sclr(gnd),
9463
        .sload(gnd),
9464
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
9465
        .devclrn(devclrn),
9466
        .devpor(devpor),
9467
        .q(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [7]),
9468
        .prn(vcc));
9469
// synopsys translate_off
9470
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7] .is_wysiwyg = "true";
9471
defparam \datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg[7] .power_up = "low";
9472
// synopsys translate_on
9473
 
9474
// Location: FF_X30_Y5_N19
9475
dffeas \datafifowrite|custom_fifo_dp8|fifo_out[7] (
9476
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9477
        .d(\datafifowrite|custom_fifo_dp8|mem_byte_out[7]~0_combout ),
9478
        .asdata(\datafifowrite|custom_fifo_dp8|mem[0].mem_byte|byte_reg [7]),
9479
        .clrn(!\comb~0clkctrl_outclk ),
9480
        .aload(gnd),
9481
        .sclr(gnd),
9482
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9483
        .ena(vcc),
9484
        .devclrn(devclrn),
9485
        .devpor(devpor),
9486
        .q(\datafifowrite|custom_fifo_dp8|fifo_out [7]),
9487
        .prn(vcc));
9488
// synopsys translate_off
9489
defparam \datafifowrite|custom_fifo_dp8|fifo_out[7] .is_wysiwyg = "true";
9490
defparam \datafifowrite|custom_fifo_dp8|fifo_out[7] .power_up = "low";
9491
// synopsys translate_on
9492
 
9493
// Location: LCCOMB_X30_Y5_N30
9494
cycloneiv_lcell_comb \word_out~24 (
9495
// Equation(s):
9496
// \word_out~24_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp8|fifo_out [7]))) # (!\state.001~q  & (word_out[6]))
9497
 
9498
        .dataa(word_out[6]),
9499
        .datab(\state.001~q ),
9500
        .datac(gnd),
9501
        .datad(\datafifowrite|custom_fifo_dp8|fifo_out [7]),
9502
        .cin(gnd),
9503
        .combout(\word_out~24_combout ),
9504
        .cout());
9505
// synopsys translate_off
9506
defparam \word_out~24 .lut_mask = 16'hEE22;
9507
defparam \word_out~24 .sum_lutc_input = "datac";
9508
// synopsys translate_on
9509
 
9510
// Location: FF_X30_Y5_N31
9511
dffeas \word_out[7] (
9512
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9513
        .d(\word_out~24_combout ),
9514
        .asdata(vcc),
9515
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9516
        .aload(gnd),
9517
        .sclr(gnd),
9518
        .sload(gnd),
9519
        .ena(\bitCountReg[3]~7_combout ),
9520
        .devclrn(devclrn),
9521
        .devpor(devpor),
9522
        .q(word_out[7]),
9523
        .prn(vcc));
9524
// synopsys translate_off
9525
defparam \word_out[7] .is_wysiwyg = "true";
9526
defparam \word_out[7] .power_up = "low";
9527
// synopsys translate_on
9528
 
9529
// Location: LCCOMB_X34_Y5_N10
9530
cycloneiv_lcell_comb \word_out~23 (
9531
// Equation(s):
9532
// \word_out~23_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp7|fifo_out [0])) # (!\state.001~q  & ((word_out[7])))
9533
 
9534
        .dataa(gnd),
9535
        .datab(\state.001~q ),
9536
        .datac(\datafifowrite|custom_fifo_dp7|fifo_out [0]),
9537
        .datad(word_out[7]),
9538
        .cin(gnd),
9539
        .combout(\word_out~23_combout ),
9540
        .cout());
9541
// synopsys translate_off
9542
defparam \word_out~23 .lut_mask = 16'hF3C0;
9543
defparam \word_out~23 .sum_lutc_input = "datac";
9544
// synopsys translate_on
9545
 
9546
// Location: FF_X34_Y5_N11
9547
dffeas \word_out[8] (
9548
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9549
        .d(\word_out~23_combout ),
9550
        .asdata(vcc),
9551
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9552
        .aload(gnd),
9553
        .sclr(gnd),
9554
        .sload(gnd),
9555
        .ena(\bitCountReg[3]~7_combout ),
9556
        .devclrn(devclrn),
9557
        .devpor(devpor),
9558
        .q(word_out[8]),
9559
        .prn(vcc));
9560
// synopsys translate_off
9561
defparam \word_out[8] .is_wysiwyg = "true";
9562
defparam \word_out[8] .power_up = "low";
9563
// synopsys translate_on
9564
 
9565
// Location: LCCOMB_X35_Y5_N30
9566
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder (
9567
// Equation(s):
9568
// \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[9]~input_o
9569
 
9570
        .dataa(gnd),
9571
        .datab(gnd),
9572
        .datac(gnd),
9573
        .datad(\wb_dat_i[9]~input_o ),
9574
        .cin(gnd),
9575
        .combout(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
9576
        .cout());
9577
// synopsys translate_off
9578
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
9579
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
9580
// synopsys translate_on
9581
 
9582
// Location: FF_X35_Y5_N31
9583
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1] (
9584
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9585
        .d(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
9586
        .asdata(vcc),
9587
        .clrn(!\comb~0clkctrl_outclk ),
9588
        .aload(gnd),
9589
        .sclr(gnd),
9590
        .sload(gnd),
9591
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9592
        .devclrn(devclrn),
9593
        .devpor(devpor),
9594
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [1]),
9595
        .prn(vcc));
9596
// synopsys translate_off
9597
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1] .is_wysiwyg = "true";
9598
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[1] .power_up = "low";
9599
// synopsys translate_on
9600
 
9601
// Location: LCCOMB_X35_Y5_N16
9602
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder (
9603
// Equation(s):
9604
// \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[9]~input_o
9605
 
9606
        .dataa(gnd),
9607
        .datab(gnd),
9608
        .datac(gnd),
9609
        .datad(\wb_dat_i[9]~input_o ),
9610
        .cin(gnd),
9611
        .combout(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
9612
        .cout());
9613
// synopsys translate_off
9614
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
9615
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
9616
// synopsys translate_on
9617
 
9618
// Location: FF_X35_Y5_N17
9619
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1] (
9620
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9621
        .d(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
9622
        .asdata(vcc),
9623
        .clrn(!\comb~0clkctrl_outclk ),
9624
        .aload(gnd),
9625
        .sclr(gnd),
9626
        .sload(gnd),
9627
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9628
        .devclrn(devclrn),
9629
        .devpor(devpor),
9630
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [1]),
9631
        .prn(vcc));
9632
// synopsys translate_off
9633
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1] .is_wysiwyg = "true";
9634
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[1] .power_up = "low";
9635
// synopsys translate_on
9636
 
9637
// Location: LCCOMB_X34_Y5_N0
9638
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6 (
9639
// Equation(s):
9640
// \datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [1]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9641
// (\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [1]))
9642
 
9643
        .dataa(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [1]),
9644
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9645
        .datac(gnd),
9646
        .datad(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [1]),
9647
        .cin(gnd),
9648
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6_combout ),
9649
        .cout());
9650
// synopsys translate_off
9651
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6 .lut_mask = 16'hEE22;
9652
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6 .sum_lutc_input = "datac";
9653
// synopsys translate_on
9654
 
9655
// Location: FF_X32_Y5_N21
9656
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[1] (
9657
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9658
        .d(gnd),
9659
        .asdata(\wb_dat_i[9]~input_o ),
9660
        .clrn(!\comb~0clkctrl_outclk ),
9661
        .aload(gnd),
9662
        .sclr(gnd),
9663
        .sload(vcc),
9664
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
9665
        .devclrn(devclrn),
9666
        .devpor(devpor),
9667
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [1]),
9668
        .prn(vcc));
9669
// synopsys translate_off
9670
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[1] .is_wysiwyg = "true";
9671
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[1] .power_up = "low";
9672
// synopsys translate_on
9673
 
9674
// Location: FF_X34_Y5_N1
9675
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[1] (
9676
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9677
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[1]~6_combout ),
9678
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [1]),
9679
        .clrn(!\comb~0clkctrl_outclk ),
9680
        .aload(gnd),
9681
        .sclr(gnd),
9682
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9683
        .ena(vcc),
9684
        .devclrn(devclrn),
9685
        .devpor(devpor),
9686
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [1]),
9687
        .prn(vcc));
9688
// synopsys translate_off
9689
defparam \datafifowrite|custom_fifo_dp7|fifo_out[1] .is_wysiwyg = "true";
9690
defparam \datafifowrite|custom_fifo_dp7|fifo_out[1] .power_up = "low";
9691
// synopsys translate_on
9692
 
9693
// Location: LCCOMB_X34_Y5_N20
9694
cycloneiv_lcell_comb \word_out~22 (
9695
// Equation(s):
9696
// \word_out~22_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [1]))) # (!\state.001~q  & (word_out[8]))
9697
 
9698
        .dataa(word_out[8]),
9699
        .datab(\state.001~q ),
9700
        .datac(gnd),
9701
        .datad(\datafifowrite|custom_fifo_dp7|fifo_out [1]),
9702
        .cin(gnd),
9703
        .combout(\word_out~22_combout ),
9704
        .cout());
9705
// synopsys translate_off
9706
defparam \word_out~22 .lut_mask = 16'hEE22;
9707
defparam \word_out~22 .sum_lutc_input = "datac";
9708
// synopsys translate_on
9709
 
9710
// Location: FF_X34_Y5_N21
9711
dffeas \word_out[9] (
9712
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9713
        .d(\word_out~22_combout ),
9714
        .asdata(vcc),
9715
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9716
        .aload(gnd),
9717
        .sclr(gnd),
9718
        .sload(gnd),
9719
        .ena(\bitCountReg[3]~7_combout ),
9720
        .devclrn(devclrn),
9721
        .devpor(devpor),
9722
        .q(word_out[9]),
9723
        .prn(vcc));
9724
// synopsys translate_off
9725
defparam \word_out[9] .is_wysiwyg = "true";
9726
defparam \word_out[9] .power_up = "low";
9727
// synopsys translate_on
9728
 
9729
// Location: LCCOMB_X34_Y5_N6
9730
cycloneiv_lcell_comb \word_out~21 (
9731
// Equation(s):
9732
// \word_out~21_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp7|fifo_out [2])) # (!\state.001~q  & ((word_out[9])))
9733
 
9734
        .dataa(\datafifowrite|custom_fifo_dp7|fifo_out [2]),
9735
        .datab(word_out[9]),
9736
        .datac(gnd),
9737
        .datad(\state.001~q ),
9738
        .cin(gnd),
9739
        .combout(\word_out~21_combout ),
9740
        .cout());
9741
// synopsys translate_off
9742
defparam \word_out~21 .lut_mask = 16'hAACC;
9743
defparam \word_out~21 .sum_lutc_input = "datac";
9744
// synopsys translate_on
9745
 
9746
// Location: FF_X34_Y5_N7
9747
dffeas \word_out[10] (
9748
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9749
        .d(\word_out~21_combout ),
9750
        .asdata(vcc),
9751
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9752
        .aload(gnd),
9753
        .sclr(gnd),
9754
        .sload(gnd),
9755
        .ena(\bitCountReg[3]~7_combout ),
9756
        .devclrn(devclrn),
9757
        .devpor(devpor),
9758
        .q(word_out[10]),
9759
        .prn(vcc));
9760
// synopsys translate_off
9761
defparam \word_out[10] .is_wysiwyg = "true";
9762
defparam \word_out[10] .power_up = "low";
9763
// synopsys translate_on
9764
 
9765
// Location: FF_X35_Y5_N21
9766
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[3] (
9767
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9768
        .d(gnd),
9769
        .asdata(\wb_dat_i[11]~input_o ),
9770
        .clrn(!\comb~0clkctrl_outclk ),
9771
        .aload(gnd),
9772
        .sclr(gnd),
9773
        .sload(vcc),
9774
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9775
        .devclrn(devclrn),
9776
        .devpor(devpor),
9777
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [3]),
9778
        .prn(vcc));
9779
// synopsys translate_off
9780
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[3] .is_wysiwyg = "true";
9781
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[3] .power_up = "low";
9782
// synopsys translate_on
9783
 
9784
// Location: FF_X35_Y5_N11
9785
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[3] (
9786
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9787
        .d(gnd),
9788
        .asdata(\wb_dat_i[11]~input_o ),
9789
        .clrn(!\comb~0clkctrl_outclk ),
9790
        .aload(gnd),
9791
        .sclr(gnd),
9792
        .sload(vcc),
9793
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9794
        .devclrn(devclrn),
9795
        .devpor(devpor),
9796
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [3]),
9797
        .prn(vcc));
9798
// synopsys translate_off
9799
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[3] .is_wysiwyg = "true";
9800
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[3] .power_up = "low";
9801
// synopsys translate_on
9802
 
9803
// Location: LCCOMB_X34_Y5_N16
9804
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4 (
9805
// Equation(s):
9806
// \datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [3])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9807
// ((\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [3])))
9808
 
9809
        .dataa(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [3]),
9810
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9811
        .datac(gnd),
9812
        .datad(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [3]),
9813
        .cin(gnd),
9814
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4_combout ),
9815
        .cout());
9816
// synopsys translate_off
9817
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4 .lut_mask = 16'hBB88;
9818
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4 .sum_lutc_input = "datac";
9819
// synopsys translate_on
9820
 
9821
// Location: LCCOMB_X32_Y5_N8
9822
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder (
9823
// Equation(s):
9824
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[11]~input_o
9825
 
9826
        .dataa(gnd),
9827
        .datab(gnd),
9828
        .datac(gnd),
9829
        .datad(\wb_dat_i[11]~input_o ),
9830
        .cin(gnd),
9831
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
9832
        .cout());
9833
// synopsys translate_off
9834
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hFF00;
9835
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
9836
// synopsys translate_on
9837
 
9838
// Location: FF_X32_Y5_N9
9839
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3] (
9840
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9841
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
9842
        .asdata(vcc),
9843
        .clrn(!\comb~0clkctrl_outclk ),
9844
        .aload(gnd),
9845
        .sclr(gnd),
9846
        .sload(gnd),
9847
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
9848
        .devclrn(devclrn),
9849
        .devpor(devpor),
9850
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [3]),
9851
        .prn(vcc));
9852
// synopsys translate_off
9853
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3] .is_wysiwyg = "true";
9854
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[3] .power_up = "low";
9855
// synopsys translate_on
9856
 
9857
// Location: FF_X34_Y5_N17
9858
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[3] (
9859
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9860
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[3]~4_combout ),
9861
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [3]),
9862
        .clrn(!\comb~0clkctrl_outclk ),
9863
        .aload(gnd),
9864
        .sclr(gnd),
9865
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
9866
        .ena(vcc),
9867
        .devclrn(devclrn),
9868
        .devpor(devpor),
9869
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [3]),
9870
        .prn(vcc));
9871
// synopsys translate_off
9872
defparam \datafifowrite|custom_fifo_dp7|fifo_out[3] .is_wysiwyg = "true";
9873
defparam \datafifowrite|custom_fifo_dp7|fifo_out[3] .power_up = "low";
9874
// synopsys translate_on
9875
 
9876
// Location: LCCOMB_X34_Y5_N8
9877
cycloneiv_lcell_comb \word_out~20 (
9878
// Equation(s):
9879
// \word_out~20_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [3]))) # (!\state.001~q  & (word_out[10]))
9880
 
9881
        .dataa(word_out[10]),
9882
        .datab(\state.001~q ),
9883
        .datac(gnd),
9884
        .datad(\datafifowrite|custom_fifo_dp7|fifo_out [3]),
9885
        .cin(gnd),
9886
        .combout(\word_out~20_combout ),
9887
        .cout());
9888
// synopsys translate_off
9889
defparam \word_out~20 .lut_mask = 16'hEE22;
9890
defparam \word_out~20 .sum_lutc_input = "datac";
9891
// synopsys translate_on
9892
 
9893
// Location: FF_X34_Y5_N9
9894
dffeas \word_out[11] (
9895
        .clk(\wb_clk_i~inputclkctrl_outclk ),
9896
        .d(\word_out~20_combout ),
9897
        .asdata(vcc),
9898
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
9899
        .aload(gnd),
9900
        .sclr(gnd),
9901
        .sload(gnd),
9902
        .ena(\bitCountReg[3]~7_combout ),
9903
        .devclrn(devclrn),
9904
        .devpor(devpor),
9905
        .q(word_out[11]),
9906
        .prn(vcc));
9907
// synopsys translate_off
9908
defparam \word_out[11] .is_wysiwyg = "true";
9909
defparam \word_out[11] .power_up = "low";
9910
// synopsys translate_on
9911
 
9912
// Location: LCCOMB_X35_Y5_N8
9913
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder (
9914
// Equation(s):
9915
// \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[12]~input_o
9916
 
9917
        .dataa(gnd),
9918
        .datab(gnd),
9919
        .datac(gnd),
9920
        .datad(\wb_dat_i[12]~input_o ),
9921
        .cin(gnd),
9922
        .combout(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder_combout ),
9923
        .cout());
9924
// synopsys translate_off
9925
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
9926
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
9927
// synopsys translate_on
9928
 
9929
// Location: FF_X35_Y5_N9
9930
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4] (
9931
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9932
        .d(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4]~feeder_combout ),
9933
        .asdata(vcc),
9934
        .clrn(!\comb~0clkctrl_outclk ),
9935
        .aload(gnd),
9936
        .sclr(gnd),
9937
        .sload(gnd),
9938
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
9939
        .devclrn(devclrn),
9940
        .devpor(devpor),
9941
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [4]),
9942
        .prn(vcc));
9943
// synopsys translate_off
9944
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4] .is_wysiwyg = "true";
9945
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[4] .power_up = "low";
9946
// synopsys translate_on
9947
 
9948
// Location: LCCOMB_X35_Y5_N26
9949
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder (
9950
// Equation(s):
9951
// \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[12]~input_o
9952
 
9953
        .dataa(gnd),
9954
        .datab(gnd),
9955
        .datac(gnd),
9956
        .datad(\wb_dat_i[12]~input_o ),
9957
        .cin(gnd),
9958
        .combout(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder_combout ),
9959
        .cout());
9960
// synopsys translate_off
9961
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
9962
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
9963
// synopsys translate_on
9964
 
9965
// Location: FF_X35_Y5_N27
9966
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4] (
9967
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
9968
        .d(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4]~feeder_combout ),
9969
        .asdata(vcc),
9970
        .clrn(!\comb~0clkctrl_outclk ),
9971
        .aload(gnd),
9972
        .sclr(gnd),
9973
        .sload(gnd),
9974
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
9975
        .devclrn(devclrn),
9976
        .devpor(devpor),
9977
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [4]),
9978
        .prn(vcc));
9979
// synopsys translate_off
9980
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4] .is_wysiwyg = "true";
9981
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[4] .power_up = "low";
9982
// synopsys translate_on
9983
 
9984
// Location: LCCOMB_X34_Y5_N18
9985
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3 (
9986
// Equation(s):
9987
// \datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [4])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
9988
// ((\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [4])))
9989
 
9990
        .dataa(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [4]),
9991
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
9992
        .datac(gnd),
9993
        .datad(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [4]),
9994
        .cin(gnd),
9995
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3_combout ),
9996
        .cout());
9997
// synopsys translate_off
9998
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3 .lut_mask = 16'hBB88;
9999
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3 .sum_lutc_input = "datac";
10000
// synopsys translate_on
10001
 
10002
// Location: LCCOMB_X32_Y5_N30
10003
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder (
10004
// Equation(s):
10005
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[12]~input_o
10006
 
10007
        .dataa(gnd),
10008
        .datab(gnd),
10009
        .datac(gnd),
10010
        .datad(\wb_dat_i[12]~input_o ),
10011
        .cin(gnd),
10012
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
10013
        .cout());
10014
// synopsys translate_off
10015
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
10016
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
10017
// synopsys translate_on
10018
 
10019
// Location: FF_X32_Y5_N31
10020
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4] (
10021
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10022
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
10023
        .asdata(vcc),
10024
        .clrn(!\comb~0clkctrl_outclk ),
10025
        .aload(gnd),
10026
        .sclr(gnd),
10027
        .sload(gnd),
10028
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10029
        .devclrn(devclrn),
10030
        .devpor(devpor),
10031
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [4]),
10032
        .prn(vcc));
10033
// synopsys translate_off
10034
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4] .is_wysiwyg = "true";
10035
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[4] .power_up = "low";
10036
// synopsys translate_on
10037
 
10038
// Location: FF_X34_Y5_N19
10039
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[4] (
10040
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10041
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[4]~3_combout ),
10042
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [4]),
10043
        .clrn(!\comb~0clkctrl_outclk ),
10044
        .aload(gnd),
10045
        .sclr(gnd),
10046
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10047
        .ena(vcc),
10048
        .devclrn(devclrn),
10049
        .devpor(devpor),
10050
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [4]),
10051
        .prn(vcc));
10052
// synopsys translate_off
10053
defparam \datafifowrite|custom_fifo_dp7|fifo_out[4] .is_wysiwyg = "true";
10054
defparam \datafifowrite|custom_fifo_dp7|fifo_out[4] .power_up = "low";
10055
// synopsys translate_on
10056
 
10057
// Location: LCCOMB_X34_Y5_N22
10058
cycloneiv_lcell_comb \word_out~19 (
10059
// Equation(s):
10060
// \word_out~19_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [4]))) # (!\state.001~q  & (word_out[11]))
10061
 
10062
        .dataa(gnd),
10063
        .datab(\state.001~q ),
10064
        .datac(word_out[11]),
10065
        .datad(\datafifowrite|custom_fifo_dp7|fifo_out [4]),
10066
        .cin(gnd),
10067
        .combout(\word_out~19_combout ),
10068
        .cout());
10069
// synopsys translate_off
10070
defparam \word_out~19 .lut_mask = 16'hFC30;
10071
defparam \word_out~19 .sum_lutc_input = "datac";
10072
// synopsys translate_on
10073
 
10074
// Location: FF_X34_Y5_N23
10075
dffeas \word_out[12] (
10076
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10077
        .d(\word_out~19_combout ),
10078
        .asdata(vcc),
10079
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10080
        .aload(gnd),
10081
        .sclr(gnd),
10082
        .sload(gnd),
10083
        .ena(\bitCountReg[3]~7_combout ),
10084
        .devclrn(devclrn),
10085
        .devpor(devpor),
10086
        .q(word_out[12]),
10087
        .prn(vcc));
10088
// synopsys translate_off
10089
defparam \word_out[12] .is_wysiwyg = "true";
10090
defparam \word_out[12] .power_up = "low";
10091
// synopsys translate_on
10092
 
10093
// Location: LCCOMB_X35_Y5_N14
10094
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder (
10095
// Equation(s):
10096
// \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[13]~input_o
10097
 
10098
        .dataa(gnd),
10099
        .datab(gnd),
10100
        .datac(gnd),
10101
        .datad(\wb_dat_i[13]~input_o ),
10102
        .cin(gnd),
10103
        .combout(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
10104
        .cout());
10105
// synopsys translate_off
10106
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
10107
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
10108
// synopsys translate_on
10109
 
10110
// Location: FF_X35_Y5_N15
10111
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5] (
10112
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10113
        .d(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
10114
        .asdata(vcc),
10115
        .clrn(!\comb~0clkctrl_outclk ),
10116
        .aload(gnd),
10117
        .sclr(gnd),
10118
        .sload(gnd),
10119
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
10120
        .devclrn(devclrn),
10121
        .devpor(devpor),
10122
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [5]),
10123
        .prn(vcc));
10124
// synopsys translate_off
10125
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5] .is_wysiwyg = "true";
10126
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[5] .power_up = "low";
10127
// synopsys translate_on
10128
 
10129
// Location: LCCOMB_X35_Y5_N24
10130
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder (
10131
// Equation(s):
10132
// \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[13]~input_o
10133
 
10134
        .dataa(gnd),
10135
        .datab(gnd),
10136
        .datac(gnd),
10137
        .datad(\wb_dat_i[13]~input_o ),
10138
        .cin(gnd),
10139
        .combout(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
10140
        .cout());
10141
// synopsys translate_off
10142
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
10143
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
10144
// synopsys translate_on
10145
 
10146
// Location: FF_X35_Y5_N25
10147
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5] (
10148
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10149
        .d(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
10150
        .asdata(vcc),
10151
        .clrn(!\comb~0clkctrl_outclk ),
10152
        .aload(gnd),
10153
        .sclr(gnd),
10154
        .sload(gnd),
10155
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
10156
        .devclrn(devclrn),
10157
        .devpor(devpor),
10158
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [5]),
10159
        .prn(vcc));
10160
// synopsys translate_off
10161
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5] .is_wysiwyg = "true";
10162
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[5] .power_up = "low";
10163
// synopsys translate_on
10164
 
10165
// Location: LCCOMB_X34_Y5_N4
10166
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2 (
10167
// Equation(s):
10168
// \datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [5]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
10169
// (\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [5]))
10170
 
10171
        .dataa(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [5]),
10172
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
10173
        .datac(gnd),
10174
        .datad(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [5]),
10175
        .cin(gnd),
10176
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2_combout ),
10177
        .cout());
10178
// synopsys translate_off
10179
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2 .lut_mask = 16'hEE22;
10180
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2 .sum_lutc_input = "datac";
10181
// synopsys translate_on
10182
 
10183
// Location: FF_X32_Y5_N13
10184
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[5] (
10185
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10186
        .d(gnd),
10187
        .asdata(\wb_dat_i[13]~input_o ),
10188
        .clrn(!\comb~0clkctrl_outclk ),
10189
        .aload(gnd),
10190
        .sclr(gnd),
10191
        .sload(vcc),
10192
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10193
        .devclrn(devclrn),
10194
        .devpor(devpor),
10195
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [5]),
10196
        .prn(vcc));
10197
// synopsys translate_off
10198
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[5] .is_wysiwyg = "true";
10199
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[5] .power_up = "low";
10200
// synopsys translate_on
10201
 
10202
// Location: FF_X34_Y5_N5
10203
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[5] (
10204
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10205
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[5]~2_combout ),
10206
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [5]),
10207
        .clrn(!\comb~0clkctrl_outclk ),
10208
        .aload(gnd),
10209
        .sclr(gnd),
10210
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10211
        .ena(vcc),
10212
        .devclrn(devclrn),
10213
        .devpor(devpor),
10214
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [5]),
10215
        .prn(vcc));
10216
// synopsys translate_off
10217
defparam \datafifowrite|custom_fifo_dp7|fifo_out[5] .is_wysiwyg = "true";
10218
defparam \datafifowrite|custom_fifo_dp7|fifo_out[5] .power_up = "low";
10219
// synopsys translate_on
10220
 
10221
// Location: LCCOMB_X34_Y5_N12
10222
cycloneiv_lcell_comb \word_out~18 (
10223
// Equation(s):
10224
// \word_out~18_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [5]))) # (!\state.001~q  & (word_out[12]))
10225
 
10226
        .dataa(word_out[12]),
10227
        .datab(\datafifowrite|custom_fifo_dp7|fifo_out [5]),
10228
        .datac(gnd),
10229
        .datad(\state.001~q ),
10230
        .cin(gnd),
10231
        .combout(\word_out~18_combout ),
10232
        .cout());
10233
// synopsys translate_off
10234
defparam \word_out~18 .lut_mask = 16'hCCAA;
10235
defparam \word_out~18 .sum_lutc_input = "datac";
10236
// synopsys translate_on
10237
 
10238
// Location: FF_X34_Y5_N13
10239
dffeas \word_out[13] (
10240
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10241
        .d(\word_out~18_combout ),
10242
        .asdata(vcc),
10243
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10244
        .aload(gnd),
10245
        .sclr(gnd),
10246
        .sload(gnd),
10247
        .ena(\bitCountReg[3]~7_combout ),
10248
        .devclrn(devclrn),
10249
        .devpor(devpor),
10250
        .q(word_out[13]),
10251
        .prn(vcc));
10252
// synopsys translate_off
10253
defparam \word_out[13] .is_wysiwyg = "true";
10254
defparam \word_out[13] .power_up = "low";
10255
// synopsys translate_on
10256
 
10257
// Location: LCCOMB_X35_Y5_N0
10258
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder (
10259
// Equation(s):
10260
// \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder_combout  = \wb_dat_i[14]~input_o
10261
 
10262
        .dataa(gnd),
10263
        .datab(gnd),
10264
        .datac(gnd),
10265
        .datad(\wb_dat_i[14]~input_o ),
10266
        .cin(gnd),
10267
        .combout(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder_combout ),
10268
        .cout());
10269
// synopsys translate_off
10270
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder .lut_mask = 16'hFF00;
10271
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder .sum_lutc_input = "datac";
10272
// synopsys translate_on
10273
 
10274
// Location: FF_X35_Y5_N1
10275
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6] (
10276
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10277
        .d(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6]~feeder_combout ),
10278
        .asdata(vcc),
10279
        .clrn(!\comb~0clkctrl_outclk ),
10280
        .aload(gnd),
10281
        .sclr(gnd),
10282
        .sload(gnd),
10283
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
10284
        .devclrn(devclrn),
10285
        .devpor(devpor),
10286
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [6]),
10287
        .prn(vcc));
10288
// synopsys translate_off
10289
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6] .is_wysiwyg = "true";
10290
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[6] .power_up = "low";
10291
// synopsys translate_on
10292
 
10293
// Location: LCCOMB_X35_Y5_N18
10294
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder (
10295
// Equation(s):
10296
// \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder_combout  = \wb_dat_i[14]~input_o
10297
 
10298
        .dataa(gnd),
10299
        .datab(gnd),
10300
        .datac(gnd),
10301
        .datad(\wb_dat_i[14]~input_o ),
10302
        .cin(gnd),
10303
        .combout(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder_combout ),
10304
        .cout());
10305
// synopsys translate_off
10306
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder .lut_mask = 16'hFF00;
10307
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder .sum_lutc_input = "datac";
10308
// synopsys translate_on
10309
 
10310
// Location: FF_X35_Y5_N19
10311
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6] (
10312
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10313
        .d(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6]~feeder_combout ),
10314
        .asdata(vcc),
10315
        .clrn(!\comb~0clkctrl_outclk ),
10316
        .aload(gnd),
10317
        .sclr(gnd),
10318
        .sload(gnd),
10319
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
10320
        .devclrn(devclrn),
10321
        .devpor(devpor),
10322
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [6]),
10323
        .prn(vcc));
10324
// synopsys translate_off
10325
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6] .is_wysiwyg = "true";
10326
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[6] .power_up = "low";
10327
// synopsys translate_on
10328
 
10329
// Location: LCCOMB_X34_Y5_N2
10330
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1 (
10331
// Equation(s):
10332
// \datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [6])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
10333
// ((\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [6])))
10334
 
10335
        .dataa(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [6]),
10336
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
10337
        .datac(gnd),
10338
        .datad(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [6]),
10339
        .cin(gnd),
10340
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1_combout ),
10341
        .cout());
10342
// synopsys translate_off
10343
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1 .lut_mask = 16'hBB88;
10344
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1 .sum_lutc_input = "datac";
10345
// synopsys translate_on
10346
 
10347
// Location: LCCOMB_X32_Y5_N6
10348
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder (
10349
// Equation(s):
10350
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder_combout  = \wb_dat_i[14]~input_o
10351
 
10352
        .dataa(gnd),
10353
        .datab(gnd),
10354
        .datac(gnd),
10355
        .datad(\wb_dat_i[14]~input_o ),
10356
        .cin(gnd),
10357
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder_combout ),
10358
        .cout());
10359
// synopsys translate_off
10360
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder .lut_mask = 16'hFF00;
10361
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder .sum_lutc_input = "datac";
10362
// synopsys translate_on
10363
 
10364
// Location: FF_X32_Y5_N7
10365
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6] (
10366
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10367
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6]~feeder_combout ),
10368
        .asdata(vcc),
10369
        .clrn(!\comb~0clkctrl_outclk ),
10370
        .aload(gnd),
10371
        .sclr(gnd),
10372
        .sload(gnd),
10373
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10374
        .devclrn(devclrn),
10375
        .devpor(devpor),
10376
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [6]),
10377
        .prn(vcc));
10378
// synopsys translate_off
10379
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6] .is_wysiwyg = "true";
10380
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[6] .power_up = "low";
10381
// synopsys translate_on
10382
 
10383
// Location: FF_X34_Y5_N3
10384
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[6] (
10385
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10386
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[6]~1_combout ),
10387
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [6]),
10388
        .clrn(!\comb~0clkctrl_outclk ),
10389
        .aload(gnd),
10390
        .sclr(gnd),
10391
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10392
        .ena(vcc),
10393
        .devclrn(devclrn),
10394
        .devpor(devpor),
10395
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [6]),
10396
        .prn(vcc));
10397
// synopsys translate_off
10398
defparam \datafifowrite|custom_fifo_dp7|fifo_out[6] .is_wysiwyg = "true";
10399
defparam \datafifowrite|custom_fifo_dp7|fifo_out[6] .power_up = "low";
10400
// synopsys translate_on
10401
 
10402
// Location: LCCOMB_X34_Y5_N30
10403
cycloneiv_lcell_comb \word_out~17 (
10404
// Equation(s):
10405
// \word_out~17_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [6]))) # (!\state.001~q  & (word_out[13]))
10406
 
10407
        .dataa(word_out[13]),
10408
        .datab(\state.001~q ),
10409
        .datac(gnd),
10410
        .datad(\datafifowrite|custom_fifo_dp7|fifo_out [6]),
10411
        .cin(gnd),
10412
        .combout(\word_out~17_combout ),
10413
        .cout());
10414
// synopsys translate_off
10415
defparam \word_out~17 .lut_mask = 16'hEE22;
10416
defparam \word_out~17 .sum_lutc_input = "datac";
10417
// synopsys translate_on
10418
 
10419
// Location: FF_X34_Y5_N31
10420
dffeas \word_out[14] (
10421
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10422
        .d(\word_out~17_combout ),
10423
        .asdata(vcc),
10424
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10425
        .aload(gnd),
10426
        .sclr(gnd),
10427
        .sload(gnd),
10428
        .ena(\bitCountReg[3]~7_combout ),
10429
        .devclrn(devclrn),
10430
        .devpor(devpor),
10431
        .q(word_out[14]),
10432
        .prn(vcc));
10433
// synopsys translate_off
10434
defparam \word_out[14] .is_wysiwyg = "true";
10435
defparam \word_out[14] .power_up = "low";
10436
// synopsys translate_on
10437
 
10438
// Location: FF_X35_Y5_N3
10439
dffeas \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[7] (
10440
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10441
        .d(gnd),
10442
        .asdata(\wb_dat_i[15]~input_o ),
10443
        .clrn(!\comb~0clkctrl_outclk ),
10444
        .aload(gnd),
10445
        .sclr(gnd),
10446
        .sload(vcc),
10447
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
10448
        .devclrn(devclrn),
10449
        .devpor(devpor),
10450
        .q(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [7]),
10451
        .prn(vcc));
10452
// synopsys translate_off
10453
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[7] .is_wysiwyg = "true";
10454
defparam \datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg[7] .power_up = "low";
10455
// synopsys translate_on
10456
 
10457
// Location: FF_X35_Y5_N5
10458
dffeas \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[7] (
10459
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10460
        .d(gnd),
10461
        .asdata(\wb_dat_i[15]~input_o ),
10462
        .clrn(!\comb~0clkctrl_outclk ),
10463
        .aload(gnd),
10464
        .sclr(gnd),
10465
        .sload(vcc),
10466
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
10467
        .devclrn(devclrn),
10468
        .devpor(devpor),
10469
        .q(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [7]),
10470
        .prn(vcc));
10471
// synopsys translate_off
10472
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[7] .is_wysiwyg = "true";
10473
defparam \datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg[7] .power_up = "low";
10474
// synopsys translate_on
10475
 
10476
// Location: LCCOMB_X34_Y5_N28
10477
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0 (
10478
// Equation(s):
10479
// \datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [7]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
10480
// (\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [7]))
10481
 
10482
        .dataa(\datafifowrite|custom_fifo_dp7|mem[2].mem_byte|byte_reg [7]),
10483
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
10484
        .datac(gnd),
10485
        .datad(\datafifowrite|custom_fifo_dp7|mem[1].mem_byte|byte_reg [7]),
10486
        .cin(gnd),
10487
        .combout(\datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0_combout ),
10488
        .cout());
10489
// synopsys translate_off
10490
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0 .lut_mask = 16'hEE22;
10491
defparam \datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0 .sum_lutc_input = "datac";
10492
// synopsys translate_on
10493
 
10494
// Location: LCCOMB_X32_Y5_N0
10495
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder (
10496
// Equation(s):
10497
// \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder_combout  = \wb_dat_i[15]~input_o
10498
 
10499
        .dataa(gnd),
10500
        .datab(gnd),
10501
        .datac(gnd),
10502
        .datad(\wb_dat_i[15]~input_o ),
10503
        .cin(gnd),
10504
        .combout(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
10505
        .cout());
10506
// synopsys translate_off
10507
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder .lut_mask = 16'hFF00;
10508
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder .sum_lutc_input = "datac";
10509
// synopsys translate_on
10510
 
10511
// Location: FF_X32_Y5_N1
10512
dffeas \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7] (
10513
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10514
        .d(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
10515
        .asdata(vcc),
10516
        .clrn(!\comb~0clkctrl_outclk ),
10517
        .aload(gnd),
10518
        .sclr(gnd),
10519
        .sload(gnd),
10520
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10521
        .devclrn(devclrn),
10522
        .devpor(devpor),
10523
        .q(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [7]),
10524
        .prn(vcc));
10525
// synopsys translate_off
10526
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7] .is_wysiwyg = "true";
10527
defparam \datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg[7] .power_up = "low";
10528
// synopsys translate_on
10529
 
10530
// Location: FF_X34_Y5_N29
10531
dffeas \datafifowrite|custom_fifo_dp7|fifo_out[7] (
10532
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10533
        .d(\datafifowrite|custom_fifo_dp7|mem_byte_out[7]~0_combout ),
10534
        .asdata(\datafifowrite|custom_fifo_dp7|mem[0].mem_byte|byte_reg [7]),
10535
        .clrn(!\comb~0clkctrl_outclk ),
10536
        .aload(gnd),
10537
        .sclr(gnd),
10538
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10539
        .ena(vcc),
10540
        .devclrn(devclrn),
10541
        .devpor(devpor),
10542
        .q(\datafifowrite|custom_fifo_dp7|fifo_out [7]),
10543
        .prn(vcc));
10544
// synopsys translate_off
10545
defparam \datafifowrite|custom_fifo_dp7|fifo_out[7] .is_wysiwyg = "true";
10546
defparam \datafifowrite|custom_fifo_dp7|fifo_out[7] .power_up = "low";
10547
// synopsys translate_on
10548
 
10549
// Location: LCCOMB_X34_Y5_N24
10550
cycloneiv_lcell_comb \word_out~16 (
10551
// Equation(s):
10552
// \word_out~16_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp7|fifo_out [7]))) # (!\state.001~q  & (word_out[14]))
10553
 
10554
        .dataa(word_out[14]),
10555
        .datab(\state.001~q ),
10556
        .datac(gnd),
10557
        .datad(\datafifowrite|custom_fifo_dp7|fifo_out [7]),
10558
        .cin(gnd),
10559
        .combout(\word_out~16_combout ),
10560
        .cout());
10561
// synopsys translate_off
10562
defparam \word_out~16 .lut_mask = 16'hEE22;
10563
defparam \word_out~16 .sum_lutc_input = "datac";
10564
// synopsys translate_on
10565
 
10566
// Location: FF_X34_Y5_N25
10567
dffeas \word_out[15] (
10568
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10569
        .d(\word_out~16_combout ),
10570
        .asdata(vcc),
10571
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10572
        .aload(gnd),
10573
        .sclr(gnd),
10574
        .sload(gnd),
10575
        .ena(\bitCountReg[3]~7_combout ),
10576
        .devclrn(devclrn),
10577
        .devpor(devpor),
10578
        .q(word_out[15]),
10579
        .prn(vcc));
10580
// synopsys translate_off
10581
defparam \word_out[15] .is_wysiwyg = "true";
10582
defparam \word_out[15] .power_up = "low";
10583
// synopsys translate_on
10584
 
10585
// Location: LCCOMB_X31_Y4_N30
10586
cycloneiv_lcell_comb \word_out~15 (
10587
// Equation(s):
10588
// \word_out~15_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp6|fifo_out [0])) # (!\state.001~q  & ((word_out[15])))
10589
 
10590
        .dataa(\datafifowrite|custom_fifo_dp6|fifo_out [0]),
10591
        .datab(\state.001~q ),
10592
        .datac(gnd),
10593
        .datad(word_out[15]),
10594
        .cin(gnd),
10595
        .combout(\word_out~15_combout ),
10596
        .cout());
10597
// synopsys translate_off
10598
defparam \word_out~15 .lut_mask = 16'hBB88;
10599
defparam \word_out~15 .sum_lutc_input = "datac";
10600
// synopsys translate_on
10601
 
10602
// Location: FF_X31_Y4_N31
10603
dffeas \word_out[16] (
10604
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10605
        .d(\word_out~15_combout ),
10606
        .asdata(vcc),
10607
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10608
        .aload(gnd),
10609
        .sclr(gnd),
10610
        .sload(gnd),
10611
        .ena(\bitCountReg[3]~7_combout ),
10612
        .devclrn(devclrn),
10613
        .devpor(devpor),
10614
        .q(word_out[16]),
10615
        .prn(vcc));
10616
// synopsys translate_off
10617
defparam \word_out[16] .is_wysiwyg = "true";
10618
defparam \word_out[16] .power_up = "low";
10619
// synopsys translate_on
10620
 
10621
// Location: LCCOMB_X32_Y4_N20
10622
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder (
10623
// Equation(s):
10624
// \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[17]~input_o
10625
 
10626
        .dataa(gnd),
10627
        .datab(gnd),
10628
        .datac(gnd),
10629
        .datad(\wb_dat_i[17]~input_o ),
10630
        .cin(gnd),
10631
        .combout(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
10632
        .cout());
10633
// synopsys translate_off
10634
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
10635
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
10636
// synopsys translate_on
10637
 
10638
// Location: FF_X32_Y4_N21
10639
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1] (
10640
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10641
        .d(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1]~feeder_combout ),
10642
        .asdata(vcc),
10643
        .clrn(!\comb~0clkctrl_outclk ),
10644
        .aload(gnd),
10645
        .sclr(gnd),
10646
        .sload(gnd),
10647
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
10648
        .devclrn(devclrn),
10649
        .devpor(devpor),
10650
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [1]),
10651
        .prn(vcc));
10652
// synopsys translate_off
10653
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1] .is_wysiwyg = "true";
10654
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[1] .power_up = "low";
10655
// synopsys translate_on
10656
 
10657
// Location: LCCOMB_X32_Y4_N18
10658
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder (
10659
// Equation(s):
10660
// \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[17]~input_o
10661
 
10662
        .dataa(gnd),
10663
        .datab(gnd),
10664
        .datac(gnd),
10665
        .datad(\wb_dat_i[17]~input_o ),
10666
        .cin(gnd),
10667
        .combout(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
10668
        .cout());
10669
// synopsys translate_off
10670
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
10671
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
10672
// synopsys translate_on
10673
 
10674
// Location: FF_X32_Y4_N19
10675
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1] (
10676
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10677
        .d(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1]~feeder_combout ),
10678
        .asdata(vcc),
10679
        .clrn(!\comb~0clkctrl_outclk ),
10680
        .aload(gnd),
10681
        .sclr(gnd),
10682
        .sload(gnd),
10683
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
10684
        .devclrn(devclrn),
10685
        .devpor(devpor),
10686
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [1]),
10687
        .prn(vcc));
10688
// synopsys translate_off
10689
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1] .is_wysiwyg = "true";
10690
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[1] .power_up = "low";
10691
// synopsys translate_on
10692
 
10693
// Location: LCCOMB_X31_Y4_N2
10694
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6 (
10695
// Equation(s):
10696
// \datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [1])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
10697
// ((\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [1])))
10698
 
10699
        .dataa(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [1]),
10700
        .datab(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [1]),
10701
        .datac(gnd),
10702
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
10703
        .cin(gnd),
10704
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6_combout ),
10705
        .cout());
10706
// synopsys translate_off
10707
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6 .lut_mask = 16'hAACC;
10708
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6 .sum_lutc_input = "datac";
10709
// synopsys translate_on
10710
 
10711
// Location: LCCOMB_X34_Y4_N4
10712
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder (
10713
// Equation(s):
10714
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder_combout  = \wb_dat_i[17]~input_o
10715
 
10716
        .dataa(gnd),
10717
        .datab(gnd),
10718
        .datac(gnd),
10719
        .datad(\wb_dat_i[17]~input_o ),
10720
        .cin(gnd),
10721
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
10722
        .cout());
10723
// synopsys translate_off
10724
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder .lut_mask = 16'hFF00;
10725
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder .sum_lutc_input = "datac";
10726
// synopsys translate_on
10727
 
10728
// Location: FF_X34_Y4_N5
10729
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1] (
10730
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10731
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1]~feeder_combout ),
10732
        .asdata(vcc),
10733
        .clrn(!\comb~0clkctrl_outclk ),
10734
        .aload(gnd),
10735
        .sclr(gnd),
10736
        .sload(gnd),
10737
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10738
        .devclrn(devclrn),
10739
        .devpor(devpor),
10740
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [1]),
10741
        .prn(vcc));
10742
// synopsys translate_off
10743
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1] .is_wysiwyg = "true";
10744
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[1] .power_up = "low";
10745
// synopsys translate_on
10746
 
10747
// Location: FF_X31_Y4_N3
10748
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[1] (
10749
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10750
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[1]~6_combout ),
10751
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [1]),
10752
        .clrn(!\comb~0clkctrl_outclk ),
10753
        .aload(gnd),
10754
        .sclr(gnd),
10755
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10756
        .ena(vcc),
10757
        .devclrn(devclrn),
10758
        .devpor(devpor),
10759
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [1]),
10760
        .prn(vcc));
10761
// synopsys translate_off
10762
defparam \datafifowrite|custom_fifo_dp6|fifo_out[1] .is_wysiwyg = "true";
10763
defparam \datafifowrite|custom_fifo_dp6|fifo_out[1] .power_up = "low";
10764
// synopsys translate_on
10765
 
10766
// Location: LCCOMB_X31_Y4_N8
10767
cycloneiv_lcell_comb \word_out~14 (
10768
// Equation(s):
10769
// \word_out~14_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [1]))) # (!\state.001~q  & (word_out[16]))
10770
 
10771
        .dataa(gnd),
10772
        .datab(\state.001~q ),
10773
        .datac(word_out[16]),
10774
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [1]),
10775
        .cin(gnd),
10776
        .combout(\word_out~14_combout ),
10777
        .cout());
10778
// synopsys translate_off
10779
defparam \word_out~14 .lut_mask = 16'hFC30;
10780
defparam \word_out~14 .sum_lutc_input = "datac";
10781
// synopsys translate_on
10782
 
10783
// Location: FF_X31_Y4_N9
10784
dffeas \word_out[17] (
10785
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10786
        .d(\word_out~14_combout ),
10787
        .asdata(vcc),
10788
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10789
        .aload(gnd),
10790
        .sclr(gnd),
10791
        .sload(gnd),
10792
        .ena(\bitCountReg[3]~7_combout ),
10793
        .devclrn(devclrn),
10794
        .devpor(devpor),
10795
        .q(word_out[17]),
10796
        .prn(vcc));
10797
// synopsys translate_off
10798
defparam \word_out[17] .is_wysiwyg = "true";
10799
defparam \word_out[17] .power_up = "low";
10800
// synopsys translate_on
10801
 
10802
// Location: FF_X32_Y4_N1
10803
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[2] (
10804
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10805
        .d(gnd),
10806
        .asdata(\wb_dat_i[18]~input_o ),
10807
        .clrn(!\comb~0clkctrl_outclk ),
10808
        .aload(gnd),
10809
        .sclr(gnd),
10810
        .sload(vcc),
10811
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
10812
        .devclrn(devclrn),
10813
        .devpor(devpor),
10814
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [2]),
10815
        .prn(vcc));
10816
// synopsys translate_off
10817
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[2] .is_wysiwyg = "true";
10818
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[2] .power_up = "low";
10819
// synopsys translate_on
10820
 
10821
// Location: FF_X32_Y4_N23
10822
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[2] (
10823
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10824
        .d(gnd),
10825
        .asdata(\wb_dat_i[18]~input_o ),
10826
        .clrn(!\comb~0clkctrl_outclk ),
10827
        .aload(gnd),
10828
        .sclr(gnd),
10829
        .sload(vcc),
10830
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
10831
        .devclrn(devclrn),
10832
        .devpor(devpor),
10833
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [2]),
10834
        .prn(vcc));
10835
// synopsys translate_off
10836
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[2] .is_wysiwyg = "true";
10837
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[2] .power_up = "low";
10838
// synopsys translate_on
10839
 
10840
// Location: LCCOMB_X31_Y4_N24
10841
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5 (
10842
// Equation(s):
10843
// \datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [2])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
10844
// ((\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [2])))
10845
 
10846
        .dataa(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
10847
        .datab(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [2]),
10848
        .datac(gnd),
10849
        .datad(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [2]),
10850
        .cin(gnd),
10851
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5_combout ),
10852
        .cout());
10853
// synopsys translate_off
10854
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5 .lut_mask = 16'hDD88;
10855
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5 .sum_lutc_input = "datac";
10856
// synopsys translate_on
10857
 
10858
// Location: LCCOMB_X34_Y4_N14
10859
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder (
10860
// Equation(s):
10861
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[18]~input_o
10862
 
10863
        .dataa(gnd),
10864
        .datab(gnd),
10865
        .datac(\wb_dat_i[18]~input_o ),
10866
        .datad(gnd),
10867
        .cin(gnd),
10868
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
10869
        .cout());
10870
// synopsys translate_off
10871
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hF0F0;
10872
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
10873
// synopsys translate_on
10874
 
10875
// Location: FF_X34_Y4_N15
10876
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2] (
10877
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10878
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
10879
        .asdata(vcc),
10880
        .clrn(!\comb~0clkctrl_outclk ),
10881
        .aload(gnd),
10882
        .sclr(gnd),
10883
        .sload(gnd),
10884
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
10885
        .devclrn(devclrn),
10886
        .devpor(devpor),
10887
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [2]),
10888
        .prn(vcc));
10889
// synopsys translate_off
10890
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2] .is_wysiwyg = "true";
10891
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[2] .power_up = "low";
10892
// synopsys translate_on
10893
 
10894
// Location: FF_X31_Y4_N25
10895
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[2] (
10896
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
10897
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[2]~5_combout ),
10898
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [2]),
10899
        .clrn(!\comb~0clkctrl_outclk ),
10900
        .aload(gnd),
10901
        .sclr(gnd),
10902
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
10903
        .ena(vcc),
10904
        .devclrn(devclrn),
10905
        .devpor(devpor),
10906
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [2]),
10907
        .prn(vcc));
10908
// synopsys translate_off
10909
defparam \datafifowrite|custom_fifo_dp6|fifo_out[2] .is_wysiwyg = "true";
10910
defparam \datafifowrite|custom_fifo_dp6|fifo_out[2] .power_up = "low";
10911
// synopsys translate_on
10912
 
10913
// Location: LCCOMB_X31_Y4_N10
10914
cycloneiv_lcell_comb \word_out~13 (
10915
// Equation(s):
10916
// \word_out~13_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [2]))) # (!\state.001~q  & (word_out[17]))
10917
 
10918
        .dataa(gnd),
10919
        .datab(\state.001~q ),
10920
        .datac(word_out[17]),
10921
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [2]),
10922
        .cin(gnd),
10923
        .combout(\word_out~13_combout ),
10924
        .cout());
10925
// synopsys translate_off
10926
defparam \word_out~13 .lut_mask = 16'hFC30;
10927
defparam \word_out~13 .sum_lutc_input = "datac";
10928
// synopsys translate_on
10929
 
10930
// Location: FF_X31_Y4_N11
10931
dffeas \word_out[18] (
10932
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10933
        .d(\word_out~13_combout ),
10934
        .asdata(vcc),
10935
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10936
        .aload(gnd),
10937
        .sclr(gnd),
10938
        .sload(gnd),
10939
        .ena(\bitCountReg[3]~7_combout ),
10940
        .devclrn(devclrn),
10941
        .devpor(devpor),
10942
        .q(word_out[18]),
10943
        .prn(vcc));
10944
// synopsys translate_off
10945
defparam \word_out[18] .is_wysiwyg = "true";
10946
defparam \word_out[18] .power_up = "low";
10947
// synopsys translate_on
10948
 
10949
// Location: LCCOMB_X31_Y4_N4
10950
cycloneiv_lcell_comb \word_out~12 (
10951
// Equation(s):
10952
// \word_out~12_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp6|fifo_out [3])) # (!\state.001~q  & ((word_out[18])))
10953
 
10954
        .dataa(gnd),
10955
        .datab(\state.001~q ),
10956
        .datac(\datafifowrite|custom_fifo_dp6|fifo_out [3]),
10957
        .datad(word_out[18]),
10958
        .cin(gnd),
10959
        .combout(\word_out~12_combout ),
10960
        .cout());
10961
// synopsys translate_off
10962
defparam \word_out~12 .lut_mask = 16'hF3C0;
10963
defparam \word_out~12 .sum_lutc_input = "datac";
10964
// synopsys translate_on
10965
 
10966
// Location: FF_X31_Y4_N5
10967
dffeas \word_out[19] (
10968
        .clk(\wb_clk_i~inputclkctrl_outclk ),
10969
        .d(\word_out~12_combout ),
10970
        .asdata(vcc),
10971
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
10972
        .aload(gnd),
10973
        .sclr(gnd),
10974
        .sload(gnd),
10975
        .ena(\bitCountReg[3]~7_combout ),
10976
        .devclrn(devclrn),
10977
        .devpor(devpor),
10978
        .q(word_out[19]),
10979
        .prn(vcc));
10980
// synopsys translate_off
10981
defparam \word_out[19] .is_wysiwyg = "true";
10982
defparam \word_out[19] .power_up = "low";
10983
// synopsys translate_on
10984
 
10985
// Location: LCCOMB_X32_Y4_N4
10986
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder (
10987
// Equation(s):
10988
// \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[20]~input_o
10989
 
10990
        .dataa(gnd),
10991
        .datab(gnd),
10992
        .datac(gnd),
10993
        .datad(\wb_dat_i[20]~input_o ),
10994
        .cin(gnd),
10995
        .combout(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder_combout ),
10996
        .cout());
10997
// synopsys translate_off
10998
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
10999
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
11000
// synopsys translate_on
11001
 
11002
// Location: FF_X32_Y4_N5
11003
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4] (
11004
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11005
        .d(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4]~feeder_combout ),
11006
        .asdata(vcc),
11007
        .clrn(!\comb~0clkctrl_outclk ),
11008
        .aload(gnd),
11009
        .sclr(gnd),
11010
        .sload(gnd),
11011
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11012
        .devclrn(devclrn),
11013
        .devpor(devpor),
11014
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [4]),
11015
        .prn(vcc));
11016
// synopsys translate_off
11017
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4] .is_wysiwyg = "true";
11018
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[4] .power_up = "low";
11019
// synopsys translate_on
11020
 
11021
// Location: LCCOMB_X32_Y4_N10
11022
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder (
11023
// Equation(s):
11024
// \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[20]~input_o
11025
 
11026
        .dataa(gnd),
11027
        .datab(gnd),
11028
        .datac(gnd),
11029
        .datad(\wb_dat_i[20]~input_o ),
11030
        .cin(gnd),
11031
        .combout(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder_combout ),
11032
        .cout());
11033
// synopsys translate_off
11034
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hFF00;
11035
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
11036
// synopsys translate_on
11037
 
11038
// Location: FF_X32_Y4_N11
11039
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4] (
11040
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11041
        .d(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4]~feeder_combout ),
11042
        .asdata(vcc),
11043
        .clrn(!\comb~0clkctrl_outclk ),
11044
        .aload(gnd),
11045
        .sclr(gnd),
11046
        .sload(gnd),
11047
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11048
        .devclrn(devclrn),
11049
        .devpor(devpor),
11050
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [4]),
11051
        .prn(vcc));
11052
// synopsys translate_off
11053
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4] .is_wysiwyg = "true";
11054
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[4] .power_up = "low";
11055
// synopsys translate_on
11056
 
11057
// Location: LCCOMB_X31_Y4_N6
11058
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3 (
11059
// Equation(s):
11060
// \datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [4])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11061
// ((\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [4])))
11062
 
11063
        .dataa(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [4]),
11064
        .datab(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [4]),
11065
        .datac(gnd),
11066
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11067
        .cin(gnd),
11068
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3_combout ),
11069
        .cout());
11070
// synopsys translate_off
11071
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3 .lut_mask = 16'hAACC;
11072
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3 .sum_lutc_input = "datac";
11073
// synopsys translate_on
11074
 
11075
// Location: LCCOMB_X34_Y4_N12
11076
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder (
11077
// Equation(s):
11078
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder_combout  = \wb_dat_i[20]~input_o
11079
 
11080
        .dataa(gnd),
11081
        .datab(gnd),
11082
        .datac(\wb_dat_i[20]~input_o ),
11083
        .datad(gnd),
11084
        .cin(gnd),
11085
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
11086
        .cout());
11087
// synopsys translate_off
11088
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder .lut_mask = 16'hF0F0;
11089
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder .sum_lutc_input = "datac";
11090
// synopsys translate_on
11091
 
11092
// Location: FF_X34_Y4_N13
11093
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4] (
11094
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11095
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4]~feeder_combout ),
11096
        .asdata(vcc),
11097
        .clrn(!\comb~0clkctrl_outclk ),
11098
        .aload(gnd),
11099
        .sclr(gnd),
11100
        .sload(gnd),
11101
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11102
        .devclrn(devclrn),
11103
        .devpor(devpor),
11104
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [4]),
11105
        .prn(vcc));
11106
// synopsys translate_off
11107
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4] .is_wysiwyg = "true";
11108
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[4] .power_up = "low";
11109
// synopsys translate_on
11110
 
11111
// Location: FF_X31_Y4_N7
11112
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[4] (
11113
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11114
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[4]~3_combout ),
11115
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [4]),
11116
        .clrn(!\comb~0clkctrl_outclk ),
11117
        .aload(gnd),
11118
        .sclr(gnd),
11119
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11120
        .ena(vcc),
11121
        .devclrn(devclrn),
11122
        .devpor(devpor),
11123
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [4]),
11124
        .prn(vcc));
11125
// synopsys translate_off
11126
defparam \datafifowrite|custom_fifo_dp6|fifo_out[4] .is_wysiwyg = "true";
11127
defparam \datafifowrite|custom_fifo_dp6|fifo_out[4] .power_up = "low";
11128
// synopsys translate_on
11129
 
11130
// Location: LCCOMB_X31_Y4_N22
11131
cycloneiv_lcell_comb \word_out~11 (
11132
// Equation(s):
11133
// \word_out~11_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [4]))) # (!\state.001~q  & (word_out[19]))
11134
 
11135
        .dataa(gnd),
11136
        .datab(\state.001~q ),
11137
        .datac(word_out[19]),
11138
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [4]),
11139
        .cin(gnd),
11140
        .combout(\word_out~11_combout ),
11141
        .cout());
11142
// synopsys translate_off
11143
defparam \word_out~11 .lut_mask = 16'hFC30;
11144
defparam \word_out~11 .sum_lutc_input = "datac";
11145
// synopsys translate_on
11146
 
11147
// Location: FF_X31_Y4_N23
11148
dffeas \word_out[20] (
11149
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11150
        .d(\word_out~11_combout ),
11151
        .asdata(vcc),
11152
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11153
        .aload(gnd),
11154
        .sclr(gnd),
11155
        .sload(gnd),
11156
        .ena(\bitCountReg[3]~7_combout ),
11157
        .devclrn(devclrn),
11158
        .devpor(devpor),
11159
        .q(word_out[20]),
11160
        .prn(vcc));
11161
// synopsys translate_off
11162
defparam \word_out[20] .is_wysiwyg = "true";
11163
defparam \word_out[20] .power_up = "low";
11164
// synopsys translate_on
11165
 
11166
// Location: LCCOMB_X32_Y4_N8
11167
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder (
11168
// Equation(s):
11169
// \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[21]~input_o
11170
 
11171
        .dataa(gnd),
11172
        .datab(gnd),
11173
        .datac(gnd),
11174
        .datad(\wb_dat_i[21]~input_o ),
11175
        .cin(gnd),
11176
        .combout(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
11177
        .cout());
11178
// synopsys translate_off
11179
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
11180
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
11181
// synopsys translate_on
11182
 
11183
// Location: FF_X32_Y4_N9
11184
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5] (
11185
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11186
        .d(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5]~feeder_combout ),
11187
        .asdata(vcc),
11188
        .clrn(!\comb~0clkctrl_outclk ),
11189
        .aload(gnd),
11190
        .sclr(gnd),
11191
        .sload(gnd),
11192
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11193
        .devclrn(devclrn),
11194
        .devpor(devpor),
11195
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [5]),
11196
        .prn(vcc));
11197
// synopsys translate_off
11198
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5] .is_wysiwyg = "true";
11199
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[5] .power_up = "low";
11200
// synopsys translate_on
11201
 
11202
// Location: LCCOMB_X32_Y4_N26
11203
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder (
11204
// Equation(s):
11205
// \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[21]~input_o
11206
 
11207
        .dataa(gnd),
11208
        .datab(gnd),
11209
        .datac(gnd),
11210
        .datad(\wb_dat_i[21]~input_o ),
11211
        .cin(gnd),
11212
        .combout(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
11213
        .cout());
11214
// synopsys translate_off
11215
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
11216
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
11217
// synopsys translate_on
11218
 
11219
// Location: FF_X32_Y4_N27
11220
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5] (
11221
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11222
        .d(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5]~feeder_combout ),
11223
        .asdata(vcc),
11224
        .clrn(!\comb~0clkctrl_outclk ),
11225
        .aload(gnd),
11226
        .sclr(gnd),
11227
        .sload(gnd),
11228
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11229
        .devclrn(devclrn),
11230
        .devpor(devpor),
11231
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [5]),
11232
        .prn(vcc));
11233
// synopsys translate_off
11234
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5] .is_wysiwyg = "true";
11235
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[5] .power_up = "low";
11236
// synopsys translate_on
11237
 
11238
// Location: LCCOMB_X34_Y4_N20
11239
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2 (
11240
// Equation(s):
11241
// \datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [5])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11242
// ((\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [5])))
11243
 
11244
        .dataa(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [5]),
11245
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11246
        .datac(gnd),
11247
        .datad(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [5]),
11248
        .cin(gnd),
11249
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2_combout ),
11250
        .cout());
11251
// synopsys translate_off
11252
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2 .lut_mask = 16'hBB88;
11253
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2 .sum_lutc_input = "datac";
11254
// synopsys translate_on
11255
 
11256
// Location: LCCOMB_X34_Y4_N22
11257
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder (
11258
// Equation(s):
11259
// \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder_combout  = \wb_dat_i[21]~input_o
11260
 
11261
        .dataa(gnd),
11262
        .datab(gnd),
11263
        .datac(gnd),
11264
        .datad(\wb_dat_i[21]~input_o ),
11265
        .cin(gnd),
11266
        .combout(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
11267
        .cout());
11268
// synopsys translate_off
11269
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder .lut_mask = 16'hFF00;
11270
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder .sum_lutc_input = "datac";
11271
// synopsys translate_on
11272
 
11273
// Location: FF_X34_Y4_N23
11274
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5] (
11275
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11276
        .d(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5]~feeder_combout ),
11277
        .asdata(vcc),
11278
        .clrn(!\comb~0clkctrl_outclk ),
11279
        .aload(gnd),
11280
        .sclr(gnd),
11281
        .sload(gnd),
11282
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11283
        .devclrn(devclrn),
11284
        .devpor(devpor),
11285
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [5]),
11286
        .prn(vcc));
11287
// synopsys translate_off
11288
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5] .is_wysiwyg = "true";
11289
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[5] .power_up = "low";
11290
// synopsys translate_on
11291
 
11292
// Location: FF_X34_Y4_N21
11293
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[5] (
11294
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11295
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[5]~2_combout ),
11296
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [5]),
11297
        .clrn(!\comb~0clkctrl_outclk ),
11298
        .aload(gnd),
11299
        .sclr(gnd),
11300
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11301
        .ena(vcc),
11302
        .devclrn(devclrn),
11303
        .devpor(devpor),
11304
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [5]),
11305
        .prn(vcc));
11306
// synopsys translate_off
11307
defparam \datafifowrite|custom_fifo_dp6|fifo_out[5] .is_wysiwyg = "true";
11308
defparam \datafifowrite|custom_fifo_dp6|fifo_out[5] .power_up = "low";
11309
// synopsys translate_on
11310
 
11311
// Location: LCCOMB_X31_Y4_N20
11312
cycloneiv_lcell_comb \word_out~10 (
11313
// Equation(s):
11314
// \word_out~10_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [5]))) # (!\state.001~q  & (word_out[20]))
11315
 
11316
        .dataa(gnd),
11317
        .datab(\state.001~q ),
11318
        .datac(word_out[20]),
11319
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [5]),
11320
        .cin(gnd),
11321
        .combout(\word_out~10_combout ),
11322
        .cout());
11323
// synopsys translate_off
11324
defparam \word_out~10 .lut_mask = 16'hFC30;
11325
defparam \word_out~10 .sum_lutc_input = "datac";
11326
// synopsys translate_on
11327
 
11328
// Location: FF_X31_Y4_N21
11329
dffeas \word_out[21] (
11330
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11331
        .d(\word_out~10_combout ),
11332
        .asdata(vcc),
11333
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11334
        .aload(gnd),
11335
        .sclr(gnd),
11336
        .sload(gnd),
11337
        .ena(\bitCountReg[3]~7_combout ),
11338
        .devclrn(devclrn),
11339
        .devpor(devpor),
11340
        .q(word_out[21]),
11341
        .prn(vcc));
11342
// synopsys translate_off
11343
defparam \word_out[21] .is_wysiwyg = "true";
11344
defparam \word_out[21] .power_up = "low";
11345
// synopsys translate_on
11346
 
11347
// Location: FF_X30_Y3_N31
11348
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[6] (
11349
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11350
        .d(gnd),
11351
        .asdata(\wb_dat_i[22]~input_o ),
11352
        .clrn(!\comb~0clkctrl_outclk ),
11353
        .aload(gnd),
11354
        .sclr(gnd),
11355
        .sload(vcc),
11356
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11357
        .devclrn(devclrn),
11358
        .devpor(devpor),
11359
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [6]),
11360
        .prn(vcc));
11361
// synopsys translate_off
11362
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[6] .is_wysiwyg = "true";
11363
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[6] .power_up = "low";
11364
// synopsys translate_on
11365
 
11366
// Location: FF_X30_Y3_N1
11367
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[6] (
11368
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11369
        .d(gnd),
11370
        .asdata(\wb_dat_i[22]~input_o ),
11371
        .clrn(!\comb~0clkctrl_outclk ),
11372
        .aload(gnd),
11373
        .sclr(gnd),
11374
        .sload(vcc),
11375
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11376
        .devclrn(devclrn),
11377
        .devpor(devpor),
11378
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [6]),
11379
        .prn(vcc));
11380
// synopsys translate_off
11381
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[6] .is_wysiwyg = "true";
11382
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[6] .power_up = "low";
11383
// synopsys translate_on
11384
 
11385
// Location: LCCOMB_X30_Y4_N16
11386
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1 (
11387
// Equation(s):
11388
// \datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [6]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11389
// (\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [6]))
11390
 
11391
        .dataa(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [6]),
11392
        .datab(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [6]),
11393
        .datac(gnd),
11394
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11395
        .cin(gnd),
11396
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1_combout ),
11397
        .cout());
11398
// synopsys translate_off
11399
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1 .lut_mask = 16'hCCAA;
11400
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1 .sum_lutc_input = "datac";
11401
// synopsys translate_on
11402
 
11403
// Location: FF_X29_Y4_N13
11404
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[6] (
11405
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11406
        .d(gnd),
11407
        .asdata(\wb_dat_i[22]~input_o ),
11408
        .clrn(!\comb~0clkctrl_outclk ),
11409
        .aload(gnd),
11410
        .sclr(gnd),
11411
        .sload(vcc),
11412
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11413
        .devclrn(devclrn),
11414
        .devpor(devpor),
11415
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [6]),
11416
        .prn(vcc));
11417
// synopsys translate_off
11418
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[6] .is_wysiwyg = "true";
11419
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[6] .power_up = "low";
11420
// synopsys translate_on
11421
 
11422
// Location: FF_X30_Y4_N17
11423
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[6] (
11424
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11425
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[6]~1_combout ),
11426
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [6]),
11427
        .clrn(!\comb~0clkctrl_outclk ),
11428
        .aload(gnd),
11429
        .sclr(gnd),
11430
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11431
        .ena(vcc),
11432
        .devclrn(devclrn),
11433
        .devpor(devpor),
11434
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [6]),
11435
        .prn(vcc));
11436
// synopsys translate_off
11437
defparam \datafifowrite|custom_fifo_dp6|fifo_out[6] .is_wysiwyg = "true";
11438
defparam \datafifowrite|custom_fifo_dp6|fifo_out[6] .power_up = "low";
11439
// synopsys translate_on
11440
 
11441
// Location: LCCOMB_X30_Y4_N22
11442
cycloneiv_lcell_comb \word_out~9 (
11443
// Equation(s):
11444
// \word_out~9_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [6]))) # (!\state.001~q  & (word_out[21]))
11445
 
11446
        .dataa(gnd),
11447
        .datab(\state.001~q ),
11448
        .datac(word_out[21]),
11449
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [6]),
11450
        .cin(gnd),
11451
        .combout(\word_out~9_combout ),
11452
        .cout());
11453
// synopsys translate_off
11454
defparam \word_out~9 .lut_mask = 16'hFC30;
11455
defparam \word_out~9 .sum_lutc_input = "datac";
11456
// synopsys translate_on
11457
 
11458
// Location: FF_X30_Y4_N23
11459
dffeas \word_out[22] (
11460
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11461
        .d(\word_out~9_combout ),
11462
        .asdata(vcc),
11463
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11464
        .aload(gnd),
11465
        .sclr(gnd),
11466
        .sload(gnd),
11467
        .ena(\bitCountReg[3]~7_combout ),
11468
        .devclrn(devclrn),
11469
        .devpor(devpor),
11470
        .q(word_out[22]),
11471
        .prn(vcc));
11472
// synopsys translate_off
11473
defparam \word_out[22] .is_wysiwyg = "true";
11474
defparam \word_out[22] .power_up = "low";
11475
// synopsys translate_on
11476
 
11477
// Location: FF_X29_Y4_N9
11478
dffeas \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[7] (
11479
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11480
        .d(gnd),
11481
        .asdata(\wb_dat_i[23]~input_o ),
11482
        .clrn(!\comb~0clkctrl_outclk ),
11483
        .aload(gnd),
11484
        .sclr(gnd),
11485
        .sload(vcc),
11486
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11487
        .devclrn(devclrn),
11488
        .devpor(devpor),
11489
        .q(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [7]),
11490
        .prn(vcc));
11491
// synopsys translate_off
11492
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[7] .is_wysiwyg = "true";
11493
defparam \datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg[7] .power_up = "low";
11494
// synopsys translate_on
11495
 
11496
// Location: FF_X30_Y3_N19
11497
dffeas \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[7] (
11498
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11499
        .d(gnd),
11500
        .asdata(\wb_dat_i[23]~input_o ),
11501
        .clrn(!\comb~0clkctrl_outclk ),
11502
        .aload(gnd),
11503
        .sclr(gnd),
11504
        .sload(vcc),
11505
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11506
        .devclrn(devclrn),
11507
        .devpor(devpor),
11508
        .q(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [7]),
11509
        .prn(vcc));
11510
// synopsys translate_off
11511
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[7] .is_wysiwyg = "true";
11512
defparam \datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg[7] .power_up = "low";
11513
// synopsys translate_on
11514
 
11515
// Location: LCCOMB_X30_Y4_N18
11516
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0 (
11517
// Equation(s):
11518
// \datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [7])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11519
// ((\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [7])))
11520
 
11521
        .dataa(\datafifowrite|custom_fifo_dp6|mem[1].mem_byte|byte_reg [7]),
11522
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11523
        .datac(gnd),
11524
        .datad(\datafifowrite|custom_fifo_dp6|mem[2].mem_byte|byte_reg [7]),
11525
        .cin(gnd),
11526
        .combout(\datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0_combout ),
11527
        .cout());
11528
// synopsys translate_off
11529
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0 .lut_mask = 16'hBB88;
11530
defparam \datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0 .sum_lutc_input = "datac";
11531
// synopsys translate_on
11532
 
11533
// Location: FF_X29_Y4_N15
11534
dffeas \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[7] (
11535
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11536
        .d(gnd),
11537
        .asdata(\wb_dat_i[23]~input_o ),
11538
        .clrn(!\comb~0clkctrl_outclk ),
11539
        .aload(gnd),
11540
        .sclr(gnd),
11541
        .sload(vcc),
11542
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11543
        .devclrn(devclrn),
11544
        .devpor(devpor),
11545
        .q(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [7]),
11546
        .prn(vcc));
11547
// synopsys translate_off
11548
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[7] .is_wysiwyg = "true";
11549
defparam \datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg[7] .power_up = "low";
11550
// synopsys translate_on
11551
 
11552
// Location: FF_X30_Y4_N19
11553
dffeas \datafifowrite|custom_fifo_dp6|fifo_out[7] (
11554
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11555
        .d(\datafifowrite|custom_fifo_dp6|mem_byte_out[7]~0_combout ),
11556
        .asdata(\datafifowrite|custom_fifo_dp6|mem[0].mem_byte|byte_reg [7]),
11557
        .clrn(!\comb~0clkctrl_outclk ),
11558
        .aload(gnd),
11559
        .sclr(gnd),
11560
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11561
        .ena(vcc),
11562
        .devclrn(devclrn),
11563
        .devpor(devpor),
11564
        .q(\datafifowrite|custom_fifo_dp6|fifo_out [7]),
11565
        .prn(vcc));
11566
// synopsys translate_off
11567
defparam \datafifowrite|custom_fifo_dp6|fifo_out[7] .is_wysiwyg = "true";
11568
defparam \datafifowrite|custom_fifo_dp6|fifo_out[7] .power_up = "low";
11569
// synopsys translate_on
11570
 
11571
// Location: LCCOMB_X30_Y4_N12
11572
cycloneiv_lcell_comb \word_out~8 (
11573
// Equation(s):
11574
// \word_out~8_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp6|fifo_out [7]))) # (!\state.001~q  & (word_out[22]))
11575
 
11576
        .dataa(gnd),
11577
        .datab(\state.001~q ),
11578
        .datac(word_out[22]),
11579
        .datad(\datafifowrite|custom_fifo_dp6|fifo_out [7]),
11580
        .cin(gnd),
11581
        .combout(\word_out~8_combout ),
11582
        .cout());
11583
// synopsys translate_off
11584
defparam \word_out~8 .lut_mask = 16'hFC30;
11585
defparam \word_out~8 .sum_lutc_input = "datac";
11586
// synopsys translate_on
11587
 
11588
// Location: FF_X30_Y4_N13
11589
dffeas \word_out[23] (
11590
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11591
        .d(\word_out~8_combout ),
11592
        .asdata(vcc),
11593
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11594
        .aload(gnd),
11595
        .sclr(gnd),
11596
        .sload(gnd),
11597
        .ena(\bitCountReg[3]~7_combout ),
11598
        .devclrn(devclrn),
11599
        .devpor(devpor),
11600
        .q(word_out[23]),
11601
        .prn(vcc));
11602
// synopsys translate_off
11603
defparam \word_out[23] .is_wysiwyg = "true";
11604
defparam \word_out[23] .power_up = "low";
11605
// synopsys translate_on
11606
 
11607
// Location: LCCOMB_X31_Y5_N20
11608
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder (
11609
// Equation(s):
11610
// \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[24]~input_o
11611
 
11612
        .dataa(gnd),
11613
        .datab(gnd),
11614
        .datac(gnd),
11615
        .datad(\wb_dat_i[24]~input_o ),
11616
        .cin(gnd),
11617
        .combout(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
11618
        .cout());
11619
// synopsys translate_off
11620
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
11621
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
11622
// synopsys translate_on
11623
 
11624
// Location: FF_X31_Y5_N21
11625
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0] (
11626
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11627
        .d(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0]~feeder_combout ),
11628
        .asdata(vcc),
11629
        .clrn(!\comb~0clkctrl_outclk ),
11630
        .aload(gnd),
11631
        .sclr(gnd),
11632
        .sload(gnd),
11633
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11634
        .devclrn(devclrn),
11635
        .devpor(devpor),
11636
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [0]),
11637
        .prn(vcc));
11638
// synopsys translate_off
11639
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0] .is_wysiwyg = "true";
11640
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[0] .power_up = "low";
11641
// synopsys translate_on
11642
 
11643
// Location: LCCOMB_X29_Y4_N28
11644
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder (
11645
// Equation(s):
11646
// \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[24]~input_o
11647
 
11648
        .dataa(gnd),
11649
        .datab(gnd),
11650
        .datac(gnd),
11651
        .datad(\wb_dat_i[24]~input_o ),
11652
        .cin(gnd),
11653
        .combout(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
11654
        .cout());
11655
// synopsys translate_off
11656
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
11657
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
11658
// synopsys translate_on
11659
 
11660
// Location: FF_X29_Y4_N29
11661
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0] (
11662
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11663
        .d(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0]~feeder_combout ),
11664
        .asdata(vcc),
11665
        .clrn(!\comb~0clkctrl_outclk ),
11666
        .aload(gnd),
11667
        .sclr(gnd),
11668
        .sload(gnd),
11669
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11670
        .devclrn(devclrn),
11671
        .devpor(devpor),
11672
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [0]),
11673
        .prn(vcc));
11674
// synopsys translate_off
11675
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0] .is_wysiwyg = "true";
11676
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[0] .power_up = "low";
11677
// synopsys translate_on
11678
 
11679
// Location: LCCOMB_X30_Y4_N0
11680
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7 (
11681
// Equation(s):
11682
// \datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [0]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11683
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [0]))
11684
 
11685
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [0]),
11686
        .datab(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11687
        .datac(gnd),
11688
        .datad(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [0]),
11689
        .cin(gnd),
11690
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7_combout ),
11691
        .cout());
11692
// synopsys translate_off
11693
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7 .lut_mask = 16'hEE22;
11694
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7 .sum_lutc_input = "datac";
11695
// synopsys translate_on
11696
 
11697
// Location: LCCOMB_X29_Y4_N26
11698
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder (
11699
// Equation(s):
11700
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder_combout  = \wb_dat_i[24]~input_o
11701
 
11702
        .dataa(gnd),
11703
        .datab(gnd),
11704
        .datac(gnd),
11705
        .datad(\wb_dat_i[24]~input_o ),
11706
        .cin(gnd),
11707
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
11708
        .cout());
11709
// synopsys translate_off
11710
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder .lut_mask = 16'hFF00;
11711
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder .sum_lutc_input = "datac";
11712
// synopsys translate_on
11713
 
11714
// Location: FF_X29_Y4_N27
11715
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0] (
11716
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11717
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0]~feeder_combout ),
11718
        .asdata(vcc),
11719
        .clrn(!\comb~0clkctrl_outclk ),
11720
        .aload(gnd),
11721
        .sclr(gnd),
11722
        .sload(gnd),
11723
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11724
        .devclrn(devclrn),
11725
        .devpor(devpor),
11726
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [0]),
11727
        .prn(vcc));
11728
// synopsys translate_off
11729
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0] .is_wysiwyg = "true";
11730
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[0] .power_up = "low";
11731
// synopsys translate_on
11732
 
11733
// Location: FF_X30_Y4_N1
11734
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[0] (
11735
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11736
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[0]~7_combout ),
11737
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [0]),
11738
        .clrn(!\comb~0clkctrl_outclk ),
11739
        .aload(gnd),
11740
        .sclr(gnd),
11741
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11742
        .ena(vcc),
11743
        .devclrn(devclrn),
11744
        .devpor(devpor),
11745
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [0]),
11746
        .prn(vcc));
11747
// synopsys translate_off
11748
defparam \datafifowrite|custom_fifo_dp5|fifo_out[0] .is_wysiwyg = "true";
11749
defparam \datafifowrite|custom_fifo_dp5|fifo_out[0] .power_up = "low";
11750
// synopsys translate_on
11751
 
11752
// Location: LCCOMB_X30_Y4_N6
11753
cycloneiv_lcell_comb \word_out~7 (
11754
// Equation(s):
11755
// \word_out~7_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|fifo_out [0]))) # (!\state.001~q  & (word_out[23]))
11756
 
11757
        .dataa(word_out[23]),
11758
        .datab(\state.001~q ),
11759
        .datac(gnd),
11760
        .datad(\datafifowrite|custom_fifo_dp5|fifo_out [0]),
11761
        .cin(gnd),
11762
        .combout(\word_out~7_combout ),
11763
        .cout());
11764
// synopsys translate_off
11765
defparam \word_out~7 .lut_mask = 16'hEE22;
11766
defparam \word_out~7 .sum_lutc_input = "datac";
11767
// synopsys translate_on
11768
 
11769
// Location: FF_X30_Y4_N7
11770
dffeas \word_out[24] (
11771
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11772
        .d(\word_out~7_combout ),
11773
        .asdata(vcc),
11774
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11775
        .aload(gnd),
11776
        .sclr(gnd),
11777
        .sload(gnd),
11778
        .ena(\bitCountReg[3]~7_combout ),
11779
        .devclrn(devclrn),
11780
        .devpor(devpor),
11781
        .q(word_out[24]),
11782
        .prn(vcc));
11783
// synopsys translate_off
11784
defparam \word_out[24] .is_wysiwyg = "true";
11785
defparam \word_out[24] .power_up = "low";
11786
// synopsys translate_on
11787
 
11788
// Location: LCCOMB_X30_Y4_N4
11789
cycloneiv_lcell_comb \word_out~6 (
11790
// Equation(s):
11791
// \word_out~6_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp5|fifo_out [1])) # (!\state.001~q  & ((word_out[24])))
11792
 
11793
        .dataa(\datafifowrite|custom_fifo_dp5|fifo_out [1]),
11794
        .datab(\state.001~q ),
11795
        .datac(gnd),
11796
        .datad(word_out[24]),
11797
        .cin(gnd),
11798
        .combout(\word_out~6_combout ),
11799
        .cout());
11800
// synopsys translate_off
11801
defparam \word_out~6 .lut_mask = 16'hBB88;
11802
defparam \word_out~6 .sum_lutc_input = "datac";
11803
// synopsys translate_on
11804
 
11805
// Location: FF_X30_Y4_N5
11806
dffeas \word_out[25] (
11807
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11808
        .d(\word_out~6_combout ),
11809
        .asdata(vcc),
11810
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11811
        .aload(gnd),
11812
        .sclr(gnd),
11813
        .sload(gnd),
11814
        .ena(\bitCountReg[3]~7_combout ),
11815
        .devclrn(devclrn),
11816
        .devpor(devpor),
11817
        .q(word_out[25]),
11818
        .prn(vcc));
11819
// synopsys translate_off
11820
defparam \word_out[25] .is_wysiwyg = "true";
11821
defparam \word_out[25] .power_up = "low";
11822
// synopsys translate_on
11823
 
11824
// Location: LCCOMB_X30_Y3_N12
11825
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder (
11826
// Equation(s):
11827
// \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[26]~input_o
11828
 
11829
        .dataa(gnd),
11830
        .datab(gnd),
11831
        .datac(gnd),
11832
        .datad(\wb_dat_i[26]~input_o ),
11833
        .cin(gnd),
11834
        .combout(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder_combout ),
11835
        .cout());
11836
// synopsys translate_off
11837
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hFF00;
11838
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
11839
// synopsys translate_on
11840
 
11841
// Location: FF_X30_Y3_N13
11842
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2] (
11843
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11844
        .d(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2]~feeder_combout ),
11845
        .asdata(vcc),
11846
        .clrn(!\comb~0clkctrl_outclk ),
11847
        .aload(gnd),
11848
        .sclr(gnd),
11849
        .sload(gnd),
11850
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
11851
        .devclrn(devclrn),
11852
        .devpor(devpor),
11853
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [2]),
11854
        .prn(vcc));
11855
// synopsys translate_off
11856
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2] .is_wysiwyg = "true";
11857
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[2] .power_up = "low";
11858
// synopsys translate_on
11859
 
11860
// Location: LCCOMB_X29_Y4_N22
11861
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder (
11862
// Equation(s):
11863
// \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[26]~input_o
11864
 
11865
        .dataa(gnd),
11866
        .datab(gnd),
11867
        .datac(gnd),
11868
        .datad(\wb_dat_i[26]~input_o ),
11869
        .cin(gnd),
11870
        .combout(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder_combout ),
11871
        .cout());
11872
// synopsys translate_off
11873
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hFF00;
11874
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
11875
// synopsys translate_on
11876
 
11877
// Location: FF_X29_Y4_N23
11878
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2] (
11879
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11880
        .d(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2]~feeder_combout ),
11881
        .asdata(vcc),
11882
        .clrn(!\comb~0clkctrl_outclk ),
11883
        .aload(gnd),
11884
        .sclr(gnd),
11885
        .sload(gnd),
11886
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
11887
        .devclrn(devclrn),
11888
        .devpor(devpor),
11889
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [2]),
11890
        .prn(vcc));
11891
// synopsys translate_off
11892
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2] .is_wysiwyg = "true";
11893
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[2] .power_up = "low";
11894
// synopsys translate_on
11895
 
11896
// Location: LCCOMB_X30_Y4_N28
11897
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5 (
11898
// Equation(s):
11899
// \datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [2]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
11900
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [2]))
11901
 
11902
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [2]),
11903
        .datab(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [2]),
11904
        .datac(gnd),
11905
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
11906
        .cin(gnd),
11907
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5_combout ),
11908
        .cout());
11909
// synopsys translate_off
11910
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5 .lut_mask = 16'hCCAA;
11911
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5 .sum_lutc_input = "datac";
11912
// synopsys translate_on
11913
 
11914
// Location: LCCOMB_X29_Y4_N4
11915
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder (
11916
// Equation(s):
11917
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder_combout  = \wb_dat_i[26]~input_o
11918
 
11919
        .dataa(gnd),
11920
        .datab(gnd),
11921
        .datac(gnd),
11922
        .datad(\wb_dat_i[26]~input_o ),
11923
        .cin(gnd),
11924
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
11925
        .cout());
11926
// synopsys translate_off
11927
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder .lut_mask = 16'hFF00;
11928
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder .sum_lutc_input = "datac";
11929
// synopsys translate_on
11930
 
11931
// Location: FF_X29_Y4_N5
11932
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2] (
11933
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11934
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2]~feeder_combout ),
11935
        .asdata(vcc),
11936
        .clrn(!\comb~0clkctrl_outclk ),
11937
        .aload(gnd),
11938
        .sclr(gnd),
11939
        .sload(gnd),
11940
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
11941
        .devclrn(devclrn),
11942
        .devpor(devpor),
11943
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [2]),
11944
        .prn(vcc));
11945
// synopsys translate_off
11946
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2] .is_wysiwyg = "true";
11947
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[2] .power_up = "low";
11948
// synopsys translate_on
11949
 
11950
// Location: FF_X30_Y4_N29
11951
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[2] (
11952
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
11953
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[2]~5_combout ),
11954
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [2]),
11955
        .clrn(!\comb~0clkctrl_outclk ),
11956
        .aload(gnd),
11957
        .sclr(gnd),
11958
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
11959
        .ena(vcc),
11960
        .devclrn(devclrn),
11961
        .devpor(devpor),
11962
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [2]),
11963
        .prn(vcc));
11964
// synopsys translate_off
11965
defparam \datafifowrite|custom_fifo_dp5|fifo_out[2] .is_wysiwyg = "true";
11966
defparam \datafifowrite|custom_fifo_dp5|fifo_out[2] .power_up = "low";
11967
// synopsys translate_on
11968
 
11969
// Location: LCCOMB_X30_Y4_N14
11970
cycloneiv_lcell_comb \word_out~5 (
11971
// Equation(s):
11972
// \word_out~5_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|fifo_out [2]))) # (!\state.001~q  & (word_out[25]))
11973
 
11974
        .dataa(gnd),
11975
        .datab(\state.001~q ),
11976
        .datac(word_out[25]),
11977
        .datad(\datafifowrite|custom_fifo_dp5|fifo_out [2]),
11978
        .cin(gnd),
11979
        .combout(\word_out~5_combout ),
11980
        .cout());
11981
// synopsys translate_off
11982
defparam \word_out~5 .lut_mask = 16'hFC30;
11983
defparam \word_out~5 .sum_lutc_input = "datac";
11984
// synopsys translate_on
11985
 
11986
// Location: FF_X30_Y4_N15
11987
dffeas \word_out[26] (
11988
        .clk(\wb_clk_i~inputclkctrl_outclk ),
11989
        .d(\word_out~5_combout ),
11990
        .asdata(vcc),
11991
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
11992
        .aload(gnd),
11993
        .sclr(gnd),
11994
        .sload(gnd),
11995
        .ena(\bitCountReg[3]~7_combout ),
11996
        .devclrn(devclrn),
11997
        .devpor(devpor),
11998
        .q(word_out[26]),
11999
        .prn(vcc));
12000
// synopsys translate_off
12001
defparam \word_out[26] .is_wysiwyg = "true";
12002
defparam \word_out[26] .power_up = "low";
12003
// synopsys translate_on
12004
 
12005
// Location: FF_X32_Y4_N7
12006
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[3] (
12007
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12008
        .d(gnd),
12009
        .asdata(\wb_dat_i[27]~input_o ),
12010
        .clrn(!\comb~0clkctrl_outclk ),
12011
        .aload(gnd),
12012
        .sclr(gnd),
12013
        .sload(vcc),
12014
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
12015
        .devclrn(devclrn),
12016
        .devpor(devpor),
12017
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [3]),
12018
        .prn(vcc));
12019
// synopsys translate_off
12020
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[3] .is_wysiwyg = "true";
12021
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[3] .power_up = "low";
12022
// synopsys translate_on
12023
 
12024
// Location: FF_X32_Y4_N13
12025
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[3] (
12026
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12027
        .d(gnd),
12028
        .asdata(\wb_dat_i[27]~input_o ),
12029
        .clrn(!\comb~0clkctrl_outclk ),
12030
        .aload(gnd),
12031
        .sclr(gnd),
12032
        .sload(vcc),
12033
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
12034
        .devclrn(devclrn),
12035
        .devpor(devpor),
12036
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [3]),
12037
        .prn(vcc));
12038
// synopsys translate_off
12039
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[3] .is_wysiwyg = "true";
12040
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[3] .power_up = "low";
12041
// synopsys translate_on
12042
 
12043
// Location: LCCOMB_X31_Y4_N16
12044
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4 (
12045
// Equation(s):
12046
// \datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [3]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
12047
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [3]))
12048
 
12049
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [3]),
12050
        .datab(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [3]),
12051
        .datac(gnd),
12052
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
12053
        .cin(gnd),
12054
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4_combout ),
12055
        .cout());
12056
// synopsys translate_off
12057
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4 .lut_mask = 16'hCCAA;
12058
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4 .sum_lutc_input = "datac";
12059
// synopsys translate_on
12060
 
12061
// Location: LCCOMB_X34_Y4_N24
12062
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder (
12063
// Equation(s):
12064
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder_combout  = \wb_dat_i[27]~input_o
12065
 
12066
        .dataa(gnd),
12067
        .datab(gnd),
12068
        .datac(gnd),
12069
        .datad(\wb_dat_i[27]~input_o ),
12070
        .cin(gnd),
12071
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
12072
        .cout());
12073
// synopsys translate_off
12074
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder .lut_mask = 16'hFF00;
12075
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder .sum_lutc_input = "datac";
12076
// synopsys translate_on
12077
 
12078
// Location: FF_X34_Y4_N25
12079
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3] (
12080
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12081
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3]~feeder_combout ),
12082
        .asdata(vcc),
12083
        .clrn(!\comb~0clkctrl_outclk ),
12084
        .aload(gnd),
12085
        .sclr(gnd),
12086
        .sload(gnd),
12087
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
12088
        .devclrn(devclrn),
12089
        .devpor(devpor),
12090
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [3]),
12091
        .prn(vcc));
12092
// synopsys translate_off
12093
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3] .is_wysiwyg = "true";
12094
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[3] .power_up = "low";
12095
// synopsys translate_on
12096
 
12097
// Location: FF_X31_Y4_N17
12098
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[3] (
12099
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12100
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[3]~4_combout ),
12101
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [3]),
12102
        .clrn(!\comb~0clkctrl_outclk ),
12103
        .aload(gnd),
12104
        .sclr(gnd),
12105
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
12106
        .ena(vcc),
12107
        .devclrn(devclrn),
12108
        .devpor(devpor),
12109
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [3]),
12110
        .prn(vcc));
12111
// synopsys translate_off
12112
defparam \datafifowrite|custom_fifo_dp5|fifo_out[3] .is_wysiwyg = "true";
12113
defparam \datafifowrite|custom_fifo_dp5|fifo_out[3] .power_up = "low";
12114
// synopsys translate_on
12115
 
12116
// Location: LCCOMB_X31_Y4_N18
12117
cycloneiv_lcell_comb \word_out~4 (
12118
// Equation(s):
12119
// \word_out~4_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|fifo_out [3]))) # (!\state.001~q  & (word_out[26]))
12120
 
12121
        .dataa(word_out[26]),
12122
        .datab(\state.001~q ),
12123
        .datac(gnd),
12124
        .datad(\datafifowrite|custom_fifo_dp5|fifo_out [3]),
12125
        .cin(gnd),
12126
        .combout(\word_out~4_combout ),
12127
        .cout());
12128
// synopsys translate_off
12129
defparam \word_out~4 .lut_mask = 16'hEE22;
12130
defparam \word_out~4 .sum_lutc_input = "datac";
12131
// synopsys translate_on
12132
 
12133
// Location: FF_X31_Y4_N19
12134
dffeas \word_out[27] (
12135
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12136
        .d(\word_out~4_combout ),
12137
        .asdata(vcc),
12138
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12139
        .aload(gnd),
12140
        .sclr(gnd),
12141
        .sload(gnd),
12142
        .ena(\bitCountReg[3]~7_combout ),
12143
        .devclrn(devclrn),
12144
        .devpor(devpor),
12145
        .q(word_out[27]),
12146
        .prn(vcc));
12147
// synopsys translate_off
12148
defparam \word_out[27] .is_wysiwyg = "true";
12149
defparam \word_out[27] .power_up = "low";
12150
// synopsys translate_on
12151
 
12152
// Location: LCCOMB_X30_Y4_N24
12153
cycloneiv_lcell_comb \word_out~3 (
12154
// Equation(s):
12155
// \word_out~3_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp5|fifo_out [4])) # (!\state.001~q  & ((word_out[27])))
12156
 
12157
        .dataa(gnd),
12158
        .datab(\state.001~q ),
12159
        .datac(\datafifowrite|custom_fifo_dp5|fifo_out [4]),
12160
        .datad(word_out[27]),
12161
        .cin(gnd),
12162
        .combout(\word_out~3_combout ),
12163
        .cout());
12164
// synopsys translate_off
12165
defparam \word_out~3 .lut_mask = 16'hF3C0;
12166
defparam \word_out~3 .sum_lutc_input = "datac";
12167
// synopsys translate_on
12168
 
12169
// Location: FF_X30_Y4_N25
12170
dffeas \word_out[28] (
12171
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12172
        .d(\word_out~3_combout ),
12173
        .asdata(vcc),
12174
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12175
        .aload(gnd),
12176
        .sclr(gnd),
12177
        .sload(gnd),
12178
        .ena(\bitCountReg[3]~7_combout ),
12179
        .devclrn(devclrn),
12180
        .devpor(devpor),
12181
        .q(word_out[28]),
12182
        .prn(vcc));
12183
// synopsys translate_off
12184
defparam \word_out[28] .is_wysiwyg = "true";
12185
defparam \word_out[28] .power_up = "low";
12186
// synopsys translate_on
12187
 
12188
// Location: LCCOMB_X31_Y4_N0
12189
cycloneiv_lcell_comb \word_out~2 (
12190
// Equation(s):
12191
// \word_out~2_combout  = (\state.001~q  & (\datafifowrite|custom_fifo_dp5|fifo_out [5])) # (!\state.001~q  & ((word_out[28])))
12192
 
12193
        .dataa(gnd),
12194
        .datab(\state.001~q ),
12195
        .datac(\datafifowrite|custom_fifo_dp5|fifo_out [5]),
12196
        .datad(word_out[28]),
12197
        .cin(gnd),
12198
        .combout(\word_out~2_combout ),
12199
        .cout());
12200
// synopsys translate_off
12201
defparam \word_out~2 .lut_mask = 16'hF3C0;
12202
defparam \word_out~2 .sum_lutc_input = "datac";
12203
// synopsys translate_on
12204
 
12205
// Location: FF_X31_Y4_N1
12206
dffeas \word_out[29] (
12207
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12208
        .d(\word_out~2_combout ),
12209
        .asdata(vcc),
12210
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12211
        .aload(gnd),
12212
        .sclr(gnd),
12213
        .sload(gnd),
12214
        .ena(\bitCountReg[3]~7_combout ),
12215
        .devclrn(devclrn),
12216
        .devpor(devpor),
12217
        .q(word_out[29]),
12218
        .prn(vcc));
12219
// synopsys translate_off
12220
defparam \word_out[29] .is_wysiwyg = "true";
12221
defparam \word_out[29] .power_up = "low";
12222
// synopsys translate_on
12223
 
12224
// Location: FF_X30_Y3_N9
12225
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[6] (
12226
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12227
        .d(gnd),
12228
        .asdata(\wb_dat_i[30]~input_o ),
12229
        .clrn(!\comb~0clkctrl_outclk ),
12230
        .aload(gnd),
12231
        .sclr(gnd),
12232
        .sload(vcc),
12233
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
12234
        .devclrn(devclrn),
12235
        .devpor(devpor),
12236
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [6]),
12237
        .prn(vcc));
12238
// synopsys translate_off
12239
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[6] .is_wysiwyg = "true";
12240
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[6] .power_up = "low";
12241
// synopsys translate_on
12242
 
12243
// Location: FF_X30_Y3_N11
12244
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[6] (
12245
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12246
        .d(gnd),
12247
        .asdata(\wb_dat_i[30]~input_o ),
12248
        .clrn(!\comb~0clkctrl_outclk ),
12249
        .aload(gnd),
12250
        .sclr(gnd),
12251
        .sload(vcc),
12252
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
12253
        .devclrn(devclrn),
12254
        .devpor(devpor),
12255
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [6]),
12256
        .prn(vcc));
12257
// synopsys translate_off
12258
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[6] .is_wysiwyg = "true";
12259
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[6] .power_up = "low";
12260
// synopsys translate_on
12261
 
12262
// Location: LCCOMB_X30_Y4_N20
12263
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1 (
12264
// Equation(s):
12265
// \datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & (\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [6])) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
12266
// ((\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [6])))
12267
 
12268
        .dataa(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [6]),
12269
        .datab(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [6]),
12270
        .datac(gnd),
12271
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
12272
        .cin(gnd),
12273
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1_combout ),
12274
        .cout());
12275
// synopsys translate_off
12276
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1 .lut_mask = 16'hAACC;
12277
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1 .sum_lutc_input = "datac";
12278
// synopsys translate_on
12279
 
12280
// Location: FF_X29_Y4_N1
12281
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[6] (
12282
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12283
        .d(gnd),
12284
        .asdata(\wb_dat_i[30]~input_o ),
12285
        .clrn(!\comb~0clkctrl_outclk ),
12286
        .aload(gnd),
12287
        .sclr(gnd),
12288
        .sload(vcc),
12289
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
12290
        .devclrn(devclrn),
12291
        .devpor(devpor),
12292
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [6]),
12293
        .prn(vcc));
12294
// synopsys translate_off
12295
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[6] .is_wysiwyg = "true";
12296
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[6] .power_up = "low";
12297
// synopsys translate_on
12298
 
12299
// Location: FF_X30_Y4_N21
12300
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[6] (
12301
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12302
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[6]~1_combout ),
12303
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [6]),
12304
        .clrn(!\comb~0clkctrl_outclk ),
12305
        .aload(gnd),
12306
        .sclr(gnd),
12307
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
12308
        .ena(vcc),
12309
        .devclrn(devclrn),
12310
        .devpor(devpor),
12311
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [6]),
12312
        .prn(vcc));
12313
// synopsys translate_off
12314
defparam \datafifowrite|custom_fifo_dp5|fifo_out[6] .is_wysiwyg = "true";
12315
defparam \datafifowrite|custom_fifo_dp5|fifo_out[6] .power_up = "low";
12316
// synopsys translate_on
12317
 
12318
// Location: LCCOMB_X30_Y4_N2
12319
cycloneiv_lcell_comb \word_out~1 (
12320
// Equation(s):
12321
// \word_out~1_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|fifo_out [6]))) # (!\state.001~q  & (word_out[29]))
12322
 
12323
        .dataa(gnd),
12324
        .datab(\state.001~q ),
12325
        .datac(word_out[29]),
12326
        .datad(\datafifowrite|custom_fifo_dp5|fifo_out [6]),
12327
        .cin(gnd),
12328
        .combout(\word_out~1_combout ),
12329
        .cout());
12330
// synopsys translate_off
12331
defparam \word_out~1 .lut_mask = 16'hFC30;
12332
defparam \word_out~1 .sum_lutc_input = "datac";
12333
// synopsys translate_on
12334
 
12335
// Location: FF_X30_Y4_N3
12336
dffeas \word_out[30] (
12337
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12338
        .d(\word_out~1_combout ),
12339
        .asdata(vcc),
12340
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12341
        .aload(gnd),
12342
        .sclr(gnd),
12343
        .sload(gnd),
12344
        .ena(\bitCountReg[3]~7_combout ),
12345
        .devclrn(devclrn),
12346
        .devpor(devpor),
12347
        .q(word_out[30]),
12348
        .prn(vcc));
12349
// synopsys translate_off
12350
defparam \word_out[30] .is_wysiwyg = "true";
12351
defparam \word_out[30] .power_up = "low";
12352
// synopsys translate_on
12353
 
12354
// Location: LCCOMB_X32_Y4_N2
12355
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder (
12356
// Equation(s):
12357
// \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder_combout  = \wb_dat_i[31]~input_o
12358
 
12359
        .dataa(gnd),
12360
        .datab(gnd),
12361
        .datac(gnd),
12362
        .datad(\wb_dat_i[31]~input_o ),
12363
        .cin(gnd),
12364
        .combout(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder_combout ),
12365
        .cout());
12366
// synopsys translate_off
12367
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder .lut_mask = 16'hFF00;
12368
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder .sum_lutc_input = "datac";
12369
// synopsys translate_on
12370
 
12371
// Location: FF_X32_Y4_N3
12372
dffeas \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7] (
12373
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12374
        .d(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7]~feeder_combout ),
12375
        .asdata(vcc),
12376
        .clrn(!\comb~0clkctrl_outclk ),
12377
        .aload(gnd),
12378
        .sclr(gnd),
12379
        .sload(gnd),
12380
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [2]),
12381
        .devclrn(devclrn),
12382
        .devpor(devpor),
12383
        .q(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [7]),
12384
        .prn(vcc));
12385
// synopsys translate_off
12386
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7] .is_wysiwyg = "true";
12387
defparam \datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg[7] .power_up = "low";
12388
// synopsys translate_on
12389
 
12390
// Location: LCCOMB_X32_Y4_N24
12391
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder (
12392
// Equation(s):
12393
// \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder_combout  = \wb_dat_i[31]~input_o
12394
 
12395
        .dataa(gnd),
12396
        .datab(gnd),
12397
        .datac(gnd),
12398
        .datad(\wb_dat_i[31]~input_o ),
12399
        .cin(gnd),
12400
        .combout(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder_combout ),
12401
        .cout());
12402
// synopsys translate_off
12403
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder .lut_mask = 16'hFF00;
12404
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder .sum_lutc_input = "datac";
12405
// synopsys translate_on
12406
 
12407
// Location: FF_X32_Y4_N25
12408
dffeas \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7] (
12409
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12410
        .d(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7]~feeder_combout ),
12411
        .asdata(vcc),
12412
        .clrn(!\comb~0clkctrl_outclk ),
12413
        .aload(gnd),
12414
        .sclr(gnd),
12415
        .sload(gnd),
12416
        .ena(\datafifowrite|custom_fifo_dp5|addr_wr [1]),
12417
        .devclrn(devclrn),
12418
        .devpor(devpor),
12419
        .q(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [7]),
12420
        .prn(vcc));
12421
// synopsys translate_off
12422
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7] .is_wysiwyg = "true";
12423
defparam \datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg[7] .power_up = "low";
12424
// synopsys translate_on
12425
 
12426
// Location: LCCOMB_X31_Y4_N28
12427
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0 (
12428
// Equation(s):
12429
// \datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0_combout  = (\datafifowrite|custom_fifo_dp5|addr_rd [1] & ((\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [7]))) # (!\datafifowrite|custom_fifo_dp5|addr_rd [1] &
12430
// (\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [7]))
12431
 
12432
        .dataa(\datafifowrite|custom_fifo_dp5|mem[2].mem_byte|byte_reg [7]),
12433
        .datab(\datafifowrite|custom_fifo_dp5|mem[1].mem_byte|byte_reg [7]),
12434
        .datac(gnd),
12435
        .datad(\datafifowrite|custom_fifo_dp5|addr_rd [1]),
12436
        .cin(gnd),
12437
        .combout(\datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0_combout ),
12438
        .cout());
12439
// synopsys translate_off
12440
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0 .lut_mask = 16'hCCAA;
12441
defparam \datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0 .sum_lutc_input = "datac";
12442
// synopsys translate_on
12443
 
12444
// Location: LCCOMB_X34_Y4_N10
12445
cycloneiv_lcell_comb \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder (
12446
// Equation(s):
12447
// \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder_combout  = \wb_dat_i[31]~input_o
12448
 
12449
        .dataa(gnd),
12450
        .datab(gnd),
12451
        .datac(gnd),
12452
        .datad(\wb_dat_i[31]~input_o ),
12453
        .cin(gnd),
12454
        .combout(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
12455
        .cout());
12456
// synopsys translate_off
12457
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder .lut_mask = 16'hFF00;
12458
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder .sum_lutc_input = "datac";
12459
// synopsys translate_on
12460
 
12461
// Location: FF_X34_Y4_N11
12462
dffeas \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7] (
12463
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12464
        .d(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7]~feeder_combout ),
12465
        .asdata(vcc),
12466
        .clrn(!\comb~0clkctrl_outclk ),
12467
        .aload(gnd),
12468
        .sclr(gnd),
12469
        .sload(gnd),
12470
        .ena(!\datafifowrite|custom_fifo_dp5|addr_wr [0]),
12471
        .devclrn(devclrn),
12472
        .devpor(devpor),
12473
        .q(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [7]),
12474
        .prn(vcc));
12475
// synopsys translate_off
12476
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7] .is_wysiwyg = "true";
12477
defparam \datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg[7] .power_up = "low";
12478
// synopsys translate_on
12479
 
12480
// Location: FF_X31_Y4_N29
12481
dffeas \datafifowrite|custom_fifo_dp5|fifo_out[7] (
12482
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12483
        .d(\datafifowrite|custom_fifo_dp5|mem_byte_out[7]~0_combout ),
12484
        .asdata(\datafifowrite|custom_fifo_dp5|mem[0].mem_byte|byte_reg [7]),
12485
        .clrn(!\comb~0clkctrl_outclk ),
12486
        .aload(gnd),
12487
        .sclr(gnd),
12488
        .sload(!\datafifowrite|custom_fifo_dp5|addr_rd [0]),
12489
        .ena(vcc),
12490
        .devclrn(devclrn),
12491
        .devpor(devpor),
12492
        .q(\datafifowrite|custom_fifo_dp5|fifo_out [7]),
12493
        .prn(vcc));
12494
// synopsys translate_off
12495
defparam \datafifowrite|custom_fifo_dp5|fifo_out[7] .is_wysiwyg = "true";
12496
defparam \datafifowrite|custom_fifo_dp5|fifo_out[7] .power_up = "low";
12497
// synopsys translate_on
12498
 
12499
// Location: LCCOMB_X31_Y4_N26
12500
cycloneiv_lcell_comb \word_out~0 (
12501
// Equation(s):
12502
// \word_out~0_combout  = (\state.001~q  & ((\datafifowrite|custom_fifo_dp5|fifo_out [7]))) # (!\state.001~q  & (word_out[30]))
12503
 
12504
        .dataa(word_out[30]),
12505
        .datab(\state.001~q ),
12506
        .datac(gnd),
12507
        .datad(\datafifowrite|custom_fifo_dp5|fifo_out [7]),
12508
        .cin(gnd),
12509
        .combout(\word_out~0_combout ),
12510
        .cout());
12511
// synopsys translate_off
12512
defparam \word_out~0 .lut_mask = 16'hEE22;
12513
defparam \word_out~0 .sum_lutc_input = "datac";
12514
// synopsys translate_on
12515
 
12516
// Location: FF_X31_Y4_N27
12517
dffeas \word_out[31] (
12518
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12519
        .d(\word_out~0_combout ),
12520
        .asdata(vcc),
12521
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12522
        .aload(gnd),
12523
        .sclr(gnd),
12524
        .sload(gnd),
12525
        .ena(\bitCountReg[3]~7_combout ),
12526
        .devclrn(devclrn),
12527
        .devpor(devpor),
12528
        .q(word_out[31]),
12529
        .prn(vcc));
12530
// synopsys translate_off
12531
defparam \word_out[31] .is_wysiwyg = "true";
12532
defparam \word_out[31] .power_up = "low";
12533
// synopsys translate_on
12534
 
12535
// Location: LCCOMB_X30_Y4_N26
12536
cycloneiv_lcell_comb \one_o~0 (
12537
// Equation(s):
12538
// \one_o~0_combout  = (\state.111~q  & ((word_out[31]) # ((\one_o~reg0_q )))) # (!\state.111~q  & (\state.100~q  & ((word_out[31]) # (\one_o~reg0_q ))))
12539
 
12540
        .dataa(\state.111~q ),
12541
        .datab(word_out[31]),
12542
        .datac(\one_o~reg0_q ),
12543
        .datad(\state.100~q ),
12544
        .cin(gnd),
12545
        .combout(\one_o~0_combout ),
12546
        .cout());
12547
// synopsys translate_off
12548
defparam \one_o~0 .lut_mask = 16'hFCA8;
12549
defparam \one_o~0 .sum_lutc_input = "datac";
12550
// synopsys translate_on
12551
 
12552
// Location: FF_X30_Y4_N27
12553
dffeas \one_o~reg0 (
12554
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12555
        .d(\one_o~0_combout ),
12556
        .asdata(vcc),
12557
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12558
        .aload(gnd),
12559
        .sclr(gnd),
12560
        .sload(gnd),
12561
        .ena(vcc),
12562
        .devclrn(devclrn),
12563
        .devpor(devpor),
12564
        .q(\one_o~reg0_q ),
12565
        .prn(vcc));
12566
// synopsys translate_off
12567
defparam \one_o~reg0 .is_wysiwyg = "true";
12568
defparam \one_o~reg0 .power_up = "low";
12569
// synopsys translate_on
12570
 
12571
// Location: LCCOMB_X30_Y4_N8
12572
cycloneiv_lcell_comb \zero_o~0 (
12573
// Equation(s):
12574
// \zero_o~0_combout  = (\state.111~q  & (((\zero_o~reg0_q )) # (!word_out[31]))) # (!\state.111~q  & (\state.100~q  & ((\zero_o~reg0_q ) # (!word_out[31]))))
12575
 
12576
        .dataa(\state.111~q ),
12577
        .datab(word_out[31]),
12578
        .datac(\zero_o~reg0_q ),
12579
        .datad(\state.100~q ),
12580
        .cin(gnd),
12581
        .combout(\zero_o~0_combout ),
12582
        .cout());
12583
// synopsys translate_off
12584
defparam \zero_o~0 .lut_mask = 16'hF3A2;
12585
defparam \zero_o~0 .sum_lutc_input = "datac";
12586
// synopsys translate_on
12587
 
12588
// Location: FF_X30_Y4_N9
12589
dffeas \zero_o~reg0 (
12590
        .clk(!\wb_clk_i~inputclkctrl_outclk ),
12591
        .d(\zero_o~0_combout ),
12592
        .asdata(vcc),
12593
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12594
        .aload(gnd),
12595
        .sclr(gnd),
12596
        .sload(gnd),
12597
        .ena(vcc),
12598
        .devclrn(devclrn),
12599
        .devpor(devpor),
12600
        .q(\zero_o~reg0_q ),
12601
        .prn(vcc));
12602
// synopsys translate_off
12603
defparam \zero_o~reg0 .is_wysiwyg = "true";
12604
defparam \zero_o~reg0 .power_up = "low";
12605
// synopsys translate_on
12606
 
12607
// Location: LCCOMB_X31_Y3_N10
12608
cycloneiv_lcell_comb \wb_interface|err_int (
12609
// Equation(s):
12610
// \wb_interface|err_int~combout  = (\wb_we_i~input_o  & (\full_dly~q  & (!\wb_adr_i[0]~input_o  & \wb_interface|wb_dat_o~1_combout )))
12611
 
12612
        .dataa(\wb_we_i~input_o ),
12613
        .datab(\full_dly~q ),
12614
        .datac(\wb_adr_i[0]~input_o ),
12615
        .datad(\wb_interface|wb_dat_o~1_combout ),
12616
        .cin(gnd),
12617
        .combout(\wb_interface|err_int~combout ),
12618
        .cout());
12619
// synopsys translate_off
12620
defparam \wb_interface|err_int .lut_mask = 16'h0800;
12621
defparam \wb_interface|err_int .sum_lutc_input = "datac";
12622
// synopsys translate_on
12623
 
12624
// Location: LCCOMB_X31_Y3_N6
12625
cycloneiv_lcell_comb \wb_interface|ack~0 (
12626
// Equation(s):
12627
// \wb_interface|ack~0_combout  = (\wb_interface|Equal2~0_combout  & (!\wb_interface|err_int~combout  & (!\lock_cfg~q  & \wb_interface|wb_dat_o~1_combout )))
12628
 
12629
        .dataa(\wb_interface|Equal2~0_combout ),
12630
        .datab(\wb_interface|err_int~combout ),
12631
        .datac(\lock_cfg~q ),
12632
        .datad(\wb_interface|wb_dat_o~1_combout ),
12633
        .cin(gnd),
12634
        .combout(\wb_interface|ack~0_combout ),
12635
        .cout());
12636
// synopsys translate_off
12637
defparam \wb_interface|ack~0 .lut_mask = 16'h0200;
12638
defparam \wb_interface|ack~0 .sum_lutc_input = "datac";
12639
// synopsys translate_on
12640
 
12641
// Location: FF_X31_Y3_N7
12642
dffeas \wb_interface|ack (
12643
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12644
        .d(\wb_interface|ack~0_combout ),
12645
        .asdata(vcc),
12646
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12647
        .aload(gnd),
12648
        .sclr(gnd),
12649
        .sload(gnd),
12650
        .ena(vcc),
12651
        .devclrn(devclrn),
12652
        .devpor(devpor),
12653
        .q(\wb_interface|ack~q ),
12654
        .prn(vcc));
12655
// synopsys translate_off
12656
defparam \wb_interface|ack .is_wysiwyg = "true";
12657
defparam \wb_interface|ack .power_up = "low";
12658
// synopsys translate_on
12659
 
12660
// Location: FF_X31_Y3_N11
12661
dffeas \wb_interface|err (
12662
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12663
        .d(\wb_interface|err_int~combout ),
12664
        .asdata(vcc),
12665
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12666
        .aload(gnd),
12667
        .sclr(gnd),
12668
        .sload(gnd),
12669
        .ena(vcc),
12670
        .devclrn(devclrn),
12671
        .devpor(devpor),
12672
        .q(\wb_interface|err~q ),
12673
        .prn(vcc));
12674
// synopsys translate_off
12675
defparam \wb_interface|err .is_wysiwyg = "true";
12676
defparam \wb_interface|err .power_up = "low";
12677
// synopsys translate_on
12678
 
12679
// Location: LCCOMB_X31_Y3_N30
12680
cycloneiv_lcell_comb \wb_interface|rty_int~0 (
12681
// Equation(s):
12682
// \wb_interface|rty_int~0_combout  = (\wb_we_i~input_o  & (\wb_interface|wb_dat_o~1_combout  & (\lock_cfg~q  & \wb_interface|Equal2~0_combout )))
12683
 
12684
        .dataa(\wb_we_i~input_o ),
12685
        .datab(\wb_interface|wb_dat_o~1_combout ),
12686
        .datac(\lock_cfg~q ),
12687
        .datad(\wb_interface|Equal2~0_combout ),
12688
        .cin(gnd),
12689
        .combout(\wb_interface|rty_int~0_combout ),
12690
        .cout());
12691
// synopsys translate_off
12692
defparam \wb_interface|rty_int~0 .lut_mask = 16'h8000;
12693
defparam \wb_interface|rty_int~0 .sum_lutc_input = "datac";
12694
// synopsys translate_on
12695
 
12696
// Location: FF_X31_Y3_N31
12697
dffeas \wb_interface|rty (
12698
        .clk(\wb_clk_i~inputclkctrl_outclk ),
12699
        .d(\wb_interface|rty_int~0_combout ),
12700
        .asdata(vcc),
12701
        .clrn(!\wb_rst_i~inputclkctrl_outclk ),
12702
        .aload(gnd),
12703
        .sclr(gnd),
12704
        .sload(gnd),
12705
        .ena(vcc),
12706
        .devclrn(devclrn),
12707
        .devpor(devpor),
12708
        .q(\wb_interface|rty~q ),
12709
        .prn(vcc));
12710
// synopsys translate_off
12711
defparam \wb_interface|rty .is_wysiwyg = "true";
12712
defparam \wb_interface|rty .power_up = "low";
12713
// synopsys translate_on
12714
 
12715
// Location: IOIBUF_X27_Y0_N8
12716
cycloneiv_io_ibuf \wb_cti_i[0]~input (
12717
        .i(wb_cti_i[0]),
12718
        .ibar(gnd),
12719
        .o(\wb_cti_i[0]~input_o ));
12720
// synopsys translate_off
12721
defparam \wb_cti_i[0]~input .bus_hold = "false";
12722
defparam \wb_cti_i[0]~input .simulate_z_as = "z";
12723
// synopsys translate_on
12724
 
12725
// Location: IOIBUF_X27_Y0_N1
12726
cycloneiv_io_ibuf \wb_cti_i[1]~input (
12727
        .i(wb_cti_i[1]),
12728
        .ibar(gnd),
12729
        .o(\wb_cti_i[1]~input_o ));
12730
// synopsys translate_off
12731
defparam \wb_cti_i[1]~input .bus_hold = "false";
12732
defparam \wb_cti_i[1]~input .simulate_z_as = "z";
12733
// synopsys translate_on
12734
 
12735
// Location: IOIBUF_X46_Y41_N15
12736
cycloneiv_io_ibuf \wb_cti_i[2]~input (
12737
        .i(wb_cti_i[2]),
12738
        .ibar(gnd),
12739
        .o(\wb_cti_i[2]~input_o ));
12740
// synopsys translate_off
12741
defparam \wb_cti_i[2]~input .bus_hold = "false";
12742
defparam \wb_cti_i[2]~input .simulate_z_as = "z";
12743
// synopsys translate_on
12744
 
12745
// Location: IOIBUF_X18_Y41_N8
12746
cycloneiv_io_ibuf \wb_sel_i[0]~input (
12747
        .i(wb_sel_i[0]),
12748
        .ibar(gnd),
12749
        .o(\wb_sel_i[0]~input_o ));
12750
// synopsys translate_off
12751
defparam \wb_sel_i[0]~input .bus_hold = "false";
12752
defparam \wb_sel_i[0]~input .simulate_z_as = "z";
12753
// synopsys translate_on
12754
 
12755
// Location: IOIBUF_X52_Y32_N8
12756
cycloneiv_io_ibuf \wb_sel_i[1]~input (
12757
        .i(wb_sel_i[1]),
12758
        .ibar(gnd),
12759
        .o(\wb_sel_i[1]~input_o ));
12760
// synopsys translate_off
12761
defparam \wb_sel_i[1]~input .bus_hold = "false";
12762
defparam \wb_sel_i[1]~input .simulate_z_as = "z";
12763
// synopsys translate_on
12764
 
12765
// Location: IOIBUF_X50_Y41_N1
12766
cycloneiv_io_ibuf \wb_sel_i[2]~input (
12767
        .i(wb_sel_i[2]),
12768
        .ibar(gnd),
12769
        .o(\wb_sel_i[2]~input_o ));
12770
// synopsys translate_off
12771
defparam \wb_sel_i[2]~input .bus_hold = "false";
12772
defparam \wb_sel_i[2]~input .simulate_z_as = "z";
12773
// synopsys translate_on
12774
 
12775
// Location: IOIBUF_X52_Y25_N8
12776
cycloneiv_io_ibuf \wb_sel_i[3]~input (
12777
        .i(wb_sel_i[3]),
12778
        .ibar(gnd),
12779
        .o(\wb_sel_i[3]~input_o ));
12780
// synopsys translate_off
12781
defparam \wb_sel_i[3]~input .bus_hold = "false";
12782
defparam \wb_sel_i[3]~input .simulate_z_as = "z";
12783
// synopsys translate_on
12784
 
12785
assign one_o = \one_o~output_o ;
12786
 
12787
assign zero_o = \zero_o~output_o ;
12788
 
12789
assign wb_dat_o[0] = \wb_dat_o[0]~output_o ;
12790
 
12791
assign wb_dat_o[1] = \wb_dat_o[1]~output_o ;
12792
 
12793
assign wb_dat_o[2] = \wb_dat_o[2]~output_o ;
12794
 
12795
assign wb_dat_o[3] = \wb_dat_o[3]~output_o ;
12796
 
12797
assign wb_dat_o[4] = \wb_dat_o[4]~output_o ;
12798
 
12799
assign wb_dat_o[5] = \wb_dat_o[5]~output_o ;
12800
 
12801
assign wb_dat_o[6] = \wb_dat_o[6]~output_o ;
12802
 
12803
assign wb_dat_o[7] = \wb_dat_o[7]~output_o ;
12804
 
12805
assign wb_dat_o[8] = \wb_dat_o[8]~output_o ;
12806
 
12807
assign wb_dat_o[9] = \wb_dat_o[9]~output_o ;
12808
 
12809
assign wb_dat_o[10] = \wb_dat_o[10]~output_o ;
12810
 
12811
assign wb_dat_o[11] = \wb_dat_o[11]~output_o ;
12812
 
12813
assign wb_dat_o[12] = \wb_dat_o[12]~output_o ;
12814
 
12815
assign wb_dat_o[13] = \wb_dat_o[13]~output_o ;
12816
 
12817
assign wb_dat_o[14] = \wb_dat_o[14]~output_o ;
12818
 
12819
assign wb_dat_o[15] = \wb_dat_o[15]~output_o ;
12820
 
12821
assign wb_dat_o[16] = \wb_dat_o[16]~output_o ;
12822
 
12823
assign wb_dat_o[17] = \wb_dat_o[17]~output_o ;
12824
 
12825
assign wb_dat_o[18] = \wb_dat_o[18]~output_o ;
12826
 
12827
assign wb_dat_o[19] = \wb_dat_o[19]~output_o ;
12828
 
12829
assign wb_dat_o[20] = \wb_dat_o[20]~output_o ;
12830
 
12831
assign wb_dat_o[21] = \wb_dat_o[21]~output_o ;
12832
 
12833
assign wb_dat_o[22] = \wb_dat_o[22]~output_o ;
12834
 
12835
assign wb_dat_o[23] = \wb_dat_o[23]~output_o ;
12836
 
12837
assign wb_dat_o[24] = \wb_dat_o[24]~output_o ;
12838
 
12839
assign wb_dat_o[25] = \wb_dat_o[25]~output_o ;
12840
 
12841
assign wb_dat_o[26] = \wb_dat_o[26]~output_o ;
12842
 
12843
assign wb_dat_o[27] = \wb_dat_o[27]~output_o ;
12844
 
12845
assign wb_dat_o[28] = \wb_dat_o[28]~output_o ;
12846
 
12847
assign wb_dat_o[29] = \wb_dat_o[29]~output_o ;
12848
 
12849
assign wb_dat_o[30] = \wb_dat_o[30]~output_o ;
12850
 
12851
assign wb_dat_o[31] = \wb_dat_o[31]~output_o ;
12852
 
12853
assign wb_ack_o = \wb_ack_o~output_o ;
12854
 
12855
assign wb_err_o = \wb_err_o~output_o ;
12856
 
12857
assign wb_rty_o = \wb_rty_o~output_o ;
12858
 
12859
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.