OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [wiegand_tx_top.qsf] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, the Altera Quartus II License Agreement,
11
# the Altera MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Altera and sold by Altera or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus II 64-Bit
21
# Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
22
# Date created = 10:59:20  February 16, 2015
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               wiegand_tx_top_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus II software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone IV GX"
41
set_global_assignment -name DEVICE auto
42
set_global_assignment -name TOP_LEVEL_ENTITY wiegand_tx_top
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:59:20  FEBRUARY 16, 2015"
45
set_global_assignment -name LAST_QUARTUS_VERSION 14.0
46
set_global_assignment -name VERILOG_FILE ../../../rtl/verilog/wiegand_tx_top.v
47
set_global_assignment -name VERILOG_FILE ../../../rtl/verilog/wiegand_defines.v
48
set_global_assignment -name VERILOG_FILE ../../../rtl/verilog/wb_interface.v
49
set_global_assignment -name VERILOG_FILE ../../../rtl/verilog/fifos.v
50
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
51
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
52
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
53
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
54
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.