OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [xilinx/] [wiegand_tx/] [ise/] [wiegand_tx_top/] [wiegand_tx_top.syr] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
Release 14.7 - xst P.20131013 (nt64)
2
Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.12 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.14 secs
14
 
15
--> Reading design: wiegand_tx_top.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "wiegand_tx_top.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "wiegand_tx_top"
44
Output Format                      : NGC
45
Target Device                      : xc3s700an-4-fgg484
46
 
47
---- Source Options
48
Top Module Name                    : wiegand_tx_top
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : LUT
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : Yes
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : Yes
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : Auto
67
Automatic Register Balancing       : No
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 100000
72
Add Generic Clock Buffer(BUFG)     : 24
73
Register Duplication               : YES
74
Slice Packing                      : YES
75
Optimize Instantiated Primitives   : NO
76
Use Clock Enable                   : Yes
77
Use Synchronous Set                : Yes
78
Use Synchronous Reset              : Yes
79
Pack IO Registers into IOBs        : Auto
80
Equivalent register Removal        : YES
81
 
82
---- General Options
83
Optimization Goal                  : Speed
84
Optimization Effort                : 1
85
Keep Hierarchy                     : No
86
Netlist Hierarchy                  : As_Optimized
87
RTL Output                         : Yes
88
Global Optimization                : AllClockNets
89
Read Cores                         : YES
90
Write Timing Constraints           : NO
91
Cross Clock Analysis               : NO
92
Hierarchy Separator                : /
93
Bus Delimiter                      : <>
94
Case Specifier                     : Maintain
95
Slice Utilization Ratio            : 100
96
BRAM Utilization Ratio             : 100
97
Verilog 2001                       : YES
98
Auto BRAM Packing                  : NO
99
Slice Utilization Ratio Delta      : 5
100
 
101
=========================================================================
102
 
103
 
104
=========================================================================
105
*                          HDL Compilation                              *
106
=========================================================================
107
Compiling verilog file "../../../../../rtl/verilog/wb_interface.v" in library work
108
Compiling verilog include file "../../../../../rtl/verilog/wiegand_defines.v"
109
Compiling verilog file "../../../../../rtl/verilog/fifos.v" in library work
110
Compiling verilog include file "../../../../../rtl/verilog/wiegand_defines.v"
111
Module  compiled
112
Module  compiled
113
Module  compiled
114
Compiling verilog file "../../../../../rtl/verilog/wiegand_tx_top.v" in library work
115
Compiling verilog include file "../../../../../rtl/verilog/wiegand_defines.v"
116
Module  compiled
117
Module  compiled
118
No errors in compilation
119
Analysis of file <"wiegand_tx_top.prj"> succeeded.
120
 
121
 
122
=========================================================================
123
*                     Design Hierarchy Analysis                         *
124
=========================================================================
125
Analyzing hierarchy for module  in library .
126
 
127
Analyzing hierarchy for module  in library .
128
 
129
Analyzing hierarchy for module  in library .
130
 
131
Analyzing hierarchy for module  in library .
132
 
133
Analyzing hierarchy for module  in library .
134
 
135
 
136
=========================================================================
137
*                            HDL Analysis                               *
138
=========================================================================
139
Analyzing top module .
140
Module  is correct for synthesis.
141
 
142
Analyzing module  in library .
143
Module  is correct for synthesis.
144
 
145
Analyzing module  in library .
146
Module  is correct for synthesis.
147
 
148
Analyzing module  in library .
149
Module  is correct for synthesis.
150
 
151
Analyzing module  in library .
152
Module  is correct for synthesis.
153
 
154
 
155
=========================================================================
156
*                           HDL Synthesis                               *
157
=========================================================================
158
 
159
Performing bidirectional port resolution...
160
 
161
Synthesizing Unit .
162
    Related source file is "../../../../../rtl/verilog/wb_interface.v".
163
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
164
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
165
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
166
    Found 32-bit register for signal .
167
    Found 32-bit tristate buffer for signal .
168
    Found 32-bit register for signal .
169
    Found 1-bit register for signal .
170
    Found 1-bit register for signal .
171
    Found 1-bit register for signal .
172
    Found 9-bit register for signal .
173
    Found 32-bit 4-to-1 multiplexer for signal .
174
    Summary:
175
        inferred  44 D-type flip-flop(s).
176
        inferred  32 Multiplexer(s).
177
        inferred  32 Tristate(s).
178
Unit  synthesized.
179
 
180
 
181
Synthesizing Unit .
182
    Related source file is "../../../../../rtl/verilog/fifos.v".
183
    Found 8-bit tristate buffer for signal .
184
    Found 8-bit register for signal .
185
    Summary:
186
        inferred   8 D-type flip-flop(s).
187
        inferred   8 Tristate(s).
188
Unit  synthesized.
189
 
190
 
191
Synthesizing Unit .
192
    Related source file is "../../../../../rtl/verilog/fifos.v".
193
    Found 3-bit comparator equal for signal .
194
    Found 3-bit register for signal .
195
    Found 3-bit register for signal .
196
    Found 8-bit register for signal .
197
    Summary:
198
        inferred  14 D-type flip-flop(s).
199
        inferred   1 Comparator(s).
200
Unit  synthesized.
201
 
202
 
203
Synthesizing Unit .
204
    Related source file is "../../../../../rtl/verilog/fifos.v".
205
Unit  synthesized.
206
 
207
 
208
Synthesizing Unit .
209
    Related source file is "../../../../../rtl/verilog/wiegand_tx_top.v".
210
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
211
    Found finite state machine  for signal .
212
    -----------------------------------------------------------------------
213
    | States             | 6                                              |
214
    | Transitions        | 12                                             |
215
    | Inputs             | 5                                              |
216
    | Outputs            | 5                                              |
217
    | Clock              | clk                       (rising_edge)        |
218
    | Reset              | rst                       (positive)           |
219
    | Reset type         | asynchronous                                   |
220
    | Reset State        | 000                                            |
221
    | Encoding           | automatic                                      |
222
    | Implementation     | LUT                                            |
223
    -----------------------------------------------------------------------
224
    Found 1-bit register for signal .
225
    Found 1-bit register for signal .
226
    Found 7-bit up counter for signal .
227
    Found 7-bit up counter for signal .
228
    Found 1-bit register for signal .
229
    Found 1-bit register for signal .
230
    Found 5-bit up counter for signal .
231
    Found 32-bit up counter for signal .
232
    Found 32-bit comparator equal for signal  created at line 192.
233
    Found 7-bit comparator equal for signal  created at line 193.
234
    Found 32-bit comparator equal for signal  created at line 202.
235
    Found 32-bit register for signal .
236
    Summary:
237
        inferred   1 Finite State Machine(s).
238
        inferred   4 Counter(s).
239
        inferred  36 D-type flip-flop(s).
240
        inferred   3 Comparator(s).
241
Unit  synthesized.
242
 
243
 
244
=========================================================================
245
HDL Synthesis Report
246
 
247
Macro Statistics
248
# Counters                                             : 4
249
 32-bit up counter                                     : 1
250
 5-bit up counter                                      : 1
251
 7-bit up counter                                      : 2
252
# Registers                                            : 51
253
 1-bit register                                        : 31
254
 32-bit register                                       : 3
255
 8-bit register                                        : 16
256
 9-bit register                                        : 1
257
# Comparators                                          : 7
258
 3-bit comparator equal                                : 4
259
 32-bit comparator equal                               : 2
260
 7-bit comparator equal                                : 1
261
# Multiplexers                                         : 1
262
 32-bit 4-to-1 multiplexer                             : 1
263
# Tristates                                            : 13
264
 32-bit tristate buffer                                : 1
265
 8-bit tristate buffer                                 : 12
266
 
267
=========================================================================
268
 
269
=========================================================================
270
*                       Advanced HDL Synthesis                          *
271
=========================================================================
272
 
273
Analyzing FSM  for best encoding.
274
Optimizing FSM  on signal  with gray encoding.
275
-------------------
276
 State | Encoding
277
-------------------
278
 000   | 000
279
 001   | 001
280
 100   | 010
281
 101   | 011
282
 110   | 111
283
 111   | 110
284
-------------------
285
 
286
=========================================================================
287
Advanced HDL Synthesis Report
288
 
289
Macro Statistics
290
# FSMs                                                 : 1
291
# Counters                                             : 4
292
 32-bit up counter                                     : 1
293
 5-bit up counter                                      : 1
294
 7-bit up counter                                      : 2
295
# Registers                                            : 264
296
 Flip-Flops                                            : 264
297
# Comparators                                          : 7
298
 3-bit comparator equal                                : 4
299
 32-bit comparator equal                               : 2
300
 7-bit comparator equal                                : 1
301
# Multiplexers                                         : 1
302
 32-bit 4-to-1 multiplexer                             : 1
303
 
304
=========================================================================
305
 
306
=========================================================================
307
*                         Low Level Synthesis                           *
308
=========================================================================
309
WARNING:Xst:2042 - Unit mem_byte: 8 internal tristates are replaced by logic (pull-up yes): dout<0>, dout<1>, dout<2>, dout<3>, dout<4>, dout<5>, dout<6>, dout<7>.
310
 
311
Optimizing unit  ...
312
 
313
Optimizing unit  ...
314
 
315
Mapping all equations...
316
Building and optimizing final netlist ...
317
Found area constraint ratio of 100 (+ 5) on block wiegand_tx_top, actual ratio is 3.
318
 
319
Final Macro Processing ...
320
 
321
=========================================================================
322
Final Register Report
323
 
324
Macro Statistics
325
# Registers                                            : 318
326
 Flip-Flops                                            : 318
327
 
328
=========================================================================
329
 
330
=========================================================================
331
*                           Partition Report                            *
332
=========================================================================
333
 
334
Partition Implementation Status
335
-------------------------------
336
 
337
  No Partitions were found in this design.
338
 
339
-------------------------------
340
 
341
=========================================================================
342
*                            Final Report                               *
343
=========================================================================
344
Final Results
345
RTL Top Level Output File Name     : wiegand_tx_top.ngr
346
Top Level Output File Name         : wiegand_tx_top
347
Output Format                      : NGC
348
Optimization Goal                  : Speed
349
Keep Hierarchy                     : No
350
 
351
Design Statistics
352
# IOs                              : 87
353
 
354
Cell Usage :
355
# BELS                             : 414
356
#      GND                         : 1
357
#      INV                         : 1
358
#      LUT2                        : 7
359
#      LUT2_D                      : 2
360
#      LUT2_L                      : 1
361
#      LUT3                        : 39
362
#      LUT3_D                      : 3
363
#      LUT3_L                      : 1
364
#      LUT4                        : 245
365
#      LUT4_L                      : 6
366
#      MUXCY                       : 63
367
#      MUXF5                       : 12
368
#      VCC                         : 1
369
#      XORCY                       : 32
370
# FlipFlops/Latches                : 318
371
#      FDC                         : 76
372
#      FDC_1                       : 3
373
#      FDCE                        : 158
374
#      FDCE_1                      : 69
375
#      FDPE                        : 8
376
#      FDPE_1                      : 4
377
# Clock Buffers                    : 1
378
#      BUFGP                       : 1
379
# IO Buffers                       : 79
380
#      IBUF                        : 42
381
#      OBUF                        : 5
382
#      OBUFT                       : 32
383
=========================================================================
384
 
385
Device utilization summary:
386
---------------------------
387
 
388
Selected Device : 3s700anfgg484-4
389
 
390
 Number of Slices:                      243  out of   5888     4%
391
 Number of Slice Flip Flops:            318  out of  11776     2%
392
 Number of 4 input LUTs:                305  out of  11776     2%
393
 Number of IOs:                          87
394
 Number of bonded IOBs:                  80  out of    372    21%
395
 Number of GCLKs:                         1  out of     24     4%
396
 
397
---------------------------
398
Partition Resource Summary:
399
---------------------------
400
 
401
  No Partitions were found in this design.
402
 
403
---------------------------
404
 
405
 
406
=========================================================================
407
TIMING REPORT
408
 
409
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
410
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
411
      GENERATED AFTER PLACE-and-ROUTE.
412
 
413
Clock Information:
414
------------------
415
-----------------------------------+------------------------+-------+
416
Clock Signal                       | Clock buffer(FF name)  | Load  |
417
-----------------------------------+------------------------+-------+
418
wb_clk_i                           | BUFGP                  | 318   |
419
-----------------------------------+------------------------+-------+
420
 
421
Asynchronous Control Signals Information:
422
----------------------------------------
423
-----------------------------------+----------------------------------------------+-------+
424
Control Signal                     | Buffer(FF name)                              | Load  |
425
-----------------------------------+----------------------------------------------+-------+
426
wb_rst_i                           | IBUF                                         | 166   |
427
_or0000(_or00001:O)                | NONE(datafifowrite/custom_fifo_dp5/addr_rd_0)| 152   |
428
-----------------------------------+----------------------------------------------+-------+
429
 
430
Timing Summary:
431
---------------
432
Speed Grade: -4
433
 
434
   Minimum period: 12.521ns (Maximum Frequency: 79.865MHz)
435
   Minimum input arrival time before clock: 7.398ns
436
   Maximum output required time after clock: 7.061ns
437
   Maximum combinational path delay: 9.636ns
438
 
439
Timing Detail:
440
--------------
441
All values displayed in nanoseconds (ns)
442
 
443
=========================================================================
444
Timing constraint: Default period analysis for Clock 'wb_clk_i'
445
  Clock period: 12.521ns (frequency: 79.865MHz)
446
  Total number of paths / destination ports: 3668 / 390
447
-------------------------------------------------------------------------
448
Delay:               6.261ns (Levels of Logic = 33)
449
  Source:            state_FSM_FFd1 (FF)
450
  Destination:       pulseCnt_31 (FF)
451
  Source Clock:      wb_clk_i rising
452
  Destination Clock: wb_clk_i falling
453
 
454
  Data Path: state_FSM_FFd1 to pulseCnt_31
455
                                Gate     Net
456
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
457
    ----------------------------------------  ------------
458
     FDC:C->Q             52   0.591   1.349  state_FSM_FFd1 (state_FSM_FFd1)
459
     LUT4:I1->O            1   0.643   0.000  Mcount_pulseCnt_lut<0> (Mcount_pulseCnt_lut<0>)
460
     MUXCY:S->O            1   0.632   0.000  Mcount_pulseCnt_cy<0> (Mcount_pulseCnt_cy<0>)
461
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<1> (Mcount_pulseCnt_cy<1>)
462
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<2> (Mcount_pulseCnt_cy<2>)
463
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<3> (Mcount_pulseCnt_cy<3>)
464
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<4> (Mcount_pulseCnt_cy<4>)
465
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<5> (Mcount_pulseCnt_cy<5>)
466
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<6> (Mcount_pulseCnt_cy<6>)
467
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<7> (Mcount_pulseCnt_cy<7>)
468
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<8> (Mcount_pulseCnt_cy<8>)
469
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<9> (Mcount_pulseCnt_cy<9>)
470
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<10> (Mcount_pulseCnt_cy<10>)
471
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<11> (Mcount_pulseCnt_cy<11>)
472
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<12> (Mcount_pulseCnt_cy<12>)
473
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<13> (Mcount_pulseCnt_cy<13>)
474
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<14> (Mcount_pulseCnt_cy<14>)
475
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<15> (Mcount_pulseCnt_cy<15>)
476
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<16> (Mcount_pulseCnt_cy<16>)
477
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<17> (Mcount_pulseCnt_cy<17>)
478
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<18> (Mcount_pulseCnt_cy<18>)
479
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<19> (Mcount_pulseCnt_cy<19>)
480
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<20> (Mcount_pulseCnt_cy<20>)
481
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<21> (Mcount_pulseCnt_cy<21>)
482
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<22> (Mcount_pulseCnt_cy<22>)
483
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<23> (Mcount_pulseCnt_cy<23>)
484
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<24> (Mcount_pulseCnt_cy<24>)
485
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<25> (Mcount_pulseCnt_cy<25>)
486
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<26> (Mcount_pulseCnt_cy<26>)
487
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<27> (Mcount_pulseCnt_cy<27>)
488
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<28> (Mcount_pulseCnt_cy<28>)
489
     MUXCY:CI->O           1   0.065   0.000  Mcount_pulseCnt_cy<29> (Mcount_pulseCnt_cy<29>)
490
     MUXCY:CI->O           0   0.065   0.000  Mcount_pulseCnt_cy<30> (Mcount_pulseCnt_cy<30>)
491
     XORCY:CI->O           1   0.844   0.000  Mcount_pulseCnt_xor<31> (Mcount_pulseCnt31)
492
     FDC:D                     0.252          pulseCnt_31
493
    ----------------------------------------
494
    Total                      6.261ns (4.912ns logic, 1.349ns route)
495
                                       (78.5% logic, 21.5% route)
496
 
497
=========================================================================
498
Timing constraint: Default OFFSET IN BEFORE for Clock 'wb_clk_i'
499
  Total number of paths / destination ports: 959 / 255
500
-------------------------------------------------------------------------
501
Offset:              7.398ns (Levels of Logic = 5)
502
  Source:            wb_adr_i<4> (PAD)
503
  Destination:       wb_interface/pulsewidth_0 (FF)
504
  Destination Clock: wb_clk_i falling
505
 
506
  Data Path: wb_adr_i<4> to wb_interface/pulsewidth_0
507
                                Gate     Net
508
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
509
    ----------------------------------------  ------------
510
     IBUF:I->O             2   0.849   0.590  wb_adr_i_4_IBUF (wb_adr_i_4_IBUF)
511
     LUT3:I0->O            1   0.648   0.500  _and000011_SW0 (N115)
512
     LUT4:I1->O            4   0.643   0.590  _and000011 (N34)
513
     LUT4:I3->O            5   0.648   0.713  _and000012 (N32)
514
     LUT2:I1->O           32   0.643   1.262  wb_interface/pulsewidth_and00001 (wb_interface/pulsewidth_and0000)
515
     FDCE_1:CE                 0.312          wb_interface/pulsewidth_0
516
    ----------------------------------------
517
    Total                      7.398ns (3.743ns logic, 3.655ns route)
518
                                       (50.6% logic, 49.4% route)
519
 
520
=========================================================================
521
Timing constraint: Default OFFSET OUT AFTER for Clock 'wb_clk_i'
522
  Total number of paths / destination ports: 96 / 37
523
-------------------------------------------------------------------------
524
Offset:              7.061ns (Levels of Logic = 3)
525
  Source:            wb_interface/p2p_8 (FF)
526
  Destination:       wb_dat_o<8> (PAD)
527
  Source Clock:      wb_clk_i falling
528
 
529
  Data Path: wb_interface/p2p_8 to wb_dat_o<8>
530
                                Gate     Net
531
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
532
    ----------------------------------------  ------------
533
     FDCE_1:C->Q           3   0.591   0.611  wb_interface/p2p_8 (wb_interface/p2p_8)
534
     LUT4:I1->O            1   0.643   0.000  wb_interface/Mmux_wb_dat_rdbk401 (wb_interface/Mmux_wb_dat_rdbk40)
535
     MUXF5:I1->O           1   0.276   0.420  wb_interface/Mmux_wb_dat_rdbk40_f5 (wb_interface/wb_dat_rdbk<8>)
536
     OBUFT:I->O                4.520          wb_dat_o_8_OBUFT (wb_dat_o<8>)
537
    ----------------------------------------
538
    Total                      7.061ns (6.030ns logic, 1.031ns route)
539
                                       (85.4% logic, 14.6% route)
540
 
541
=========================================================================
542
Timing constraint: Default path analysis
543
  Total number of paths / destination ports: 342 / 32
544
-------------------------------------------------------------------------
545
Delay:               9.636ns (Levels of Logic = 6)
546
  Source:            wb_adr_i<1> (PAD)
547
  Destination:       wb_dat_o<8> (PAD)
548
 
549
  Data Path: wb_adr_i<1> to wb_dat_o<8>
550
                                Gate     Net
551
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
552
    ----------------------------------------  ------------
553
     IBUF:I->O             2   0.849   0.590  wb_adr_i_1_IBUF (wb_adr_i_1_IBUF)
554
     LUT4:I0->O            1   0.648   0.000  wb_interface/Mmux_wb_dat_rdbk1411 (wb_interface/Mmux_wb_dat_rdbk1411)
555
     MUXF5:I0->O          43   0.276   1.409  wb_interface/Mmux_wb_dat_rdbk141_f5 (N01)
556
     LUT4:I0->O            1   0.648   0.000  wb_interface/Mmux_wb_dat_rdbk401 (wb_interface/Mmux_wb_dat_rdbk40)
557
     MUXF5:I1->O           1   0.276   0.420  wb_interface/Mmux_wb_dat_rdbk40_f5 (wb_interface/wb_dat_rdbk<8>)
558
     OBUFT:I->O                4.520          wb_dat_o_8_OBUFT (wb_dat_o<8>)
559
    ----------------------------------------
560
    Total                      9.636ns (7.217ns logic, 2.419ns route)
561
                                       (74.9% logic, 25.1% route)
562
 
563
=========================================================================
564
 
565
 
566
Total REAL time to Xst completion: 7.00 secs
567
Total CPU time to Xst completion: 7.14 secs
568
 
569
-->
570
 
571
Total memory usage is 287180 kilobytes
572
 
573
Number of errors   :    0 (   0 filtered)
574
Number of warnings :    5 (   0 filtered)
575
Number of infos    :    0 (   0 filtered)
576
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.