OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [xilinx/] [wiegand_tx/] [ise/] [wiegand_tx_top/] [wiegand_tx_top.tcl] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
# 
2
# Project automation script for wiegand_tx_top 
3
# 
4
# Created for ISE version 14.7
5
# 
6
# This file contains several Tcl procedures (procs) that you can use to automate
7
# your project by running from xtclsh or the Project Navigator Tcl console.
8
# If you load this file (using the Tcl command: source wiegand_tx_top.tcl), then you can
9
# run any of the procs included here.
10
# 
11
# This script is generated assuming your project has HDL sources.
12
# Several of the defined procs won't apply to an EDIF or NGC based project.
13
# If that is the case, simply remove them from this script.
14
# 
15
# You may also edit any of these procs to customize them. See comments in each
16
# proc for more instructions.
17
# 
18
# This file contains the following procedures:
19
# 
20
# Top Level procs (meant to be called directly by the user):
21
#    run_process: you can use this top-level procedure to run any processes
22
#        that you choose to by adding and removing comments, or by
23
#        adding new entries.
24
#    rebuild_project: you can alternatively use this top-level procedure
25
#        to recreate your entire project, and the run selected processes.
26
# 
27
# Lower Level (helper) procs (called under in various cases by the top level procs):
28
#    show_help: print some basic information describing how this script works
29
#    add_source_files: adds the listed source files to your project.
30
#    set_project_props: sets the project properties that were in effect when this
31
#        script was generated.
32
#    create_libraries: creates and adds file to VHDL libraries that were defined when
33
#        this script was generated.
34
#    set_process_props: set the process properties as they were set for your project
35
#        when this script was generated.
36
# 
37
 
38
set myProject "wiegand_tx_top"
39
set myScript "wiegand_tx_top.tcl"
40
 
41
# 
42
# Main (top-level) routines
43
# 
44
# run_process
45
# This procedure is used to run processes on an existing project. You may comment or
46
# uncomment lines to control which processes are run. This routine is set up to run
47
# the Implement Design and Generate Programming File processes by default. This proc
48
# also sets process properties as specified in the "set_process_props" proc. Only
49
# those properties which have values different from their current settings in the project
50
# file will be modified in the project.
51
# 
52
proc run_process {} {
53
 
54
   global myScript
55
   global myProject
56
 
57
   ## put out a 'heartbeat' - so we know something's happening.
58
   puts "\n$myScript: running ($myProject)...\n"
59
 
60
   if { ! [ open_project ] } {
61
      return false
62
   }
63
 
64
   set_process_props
65
   #
66
   # Remove the comment characters (#'s) to enable the following commands 
67
   # process run "Synthesize"
68
   # process run "Translate"
69
   # process run "Map"
70
   # process run "Place & Route"
71
   #
72
   set task "Implement Design"
73
   if { ! [run_task $task] } {
74
      puts "$myScript: $task run failed, check run output for details."
75
      project close
76
      return
77
   }
78
 
79
   puts "Run completed (successfully)."
80
   project close
81
 
82
}
83
 
84
# 
85
# rebuild_project
86
# 
87
# This procedure renames the project file (if it exists) and recreates the project.
88
# It then sets project properties and adds project sources as specified by the
89
# set_project_props and add_source_files support procs. It recreates VHDL Libraries
90
# as they existed at the time this script was generated.
91
# 
92
# It then calls run_process to set process properties and run selected processes.
93
# 
94
proc rebuild_project {} {
95
 
96
   global myScript
97
   global myProject
98
 
99
   project close
100
   ## put out a 'heartbeat' - so we know something's happening.
101
   puts "\n$myScript: Rebuilding ($myProject)...\n"
102
 
103
   set proj_exts [ list ise xise gise ]
104
   foreach ext $proj_exts {
105
      set proj_name "${myProject}.$ext"
106
      if { [ file exists $proj_name ] } {
107
         file delete $proj_name
108
      }
109
   }
110
 
111
   project new $myProject
112
   set_project_props
113
   add_source_files
114
   create_libraries
115
   puts "$myScript: project rebuild completed."
116
 
117
   run_process
118
 
119
}
120
 
121
# 
122
# Support Routines
123
# 
124
 
125
# 
126
proc run_task { task } {
127
 
128
   # helper proc for run_process
129
 
130
   puts "Running '$task'"
131
   set result [ process run "$task" ]
132
   #
133
   # check process status (and result)
134
   set status [ process get $task status ]
135
   if { ( ( $status != "up_to_date" ) && \
136
            ( $status != "warnings" ) ) || \
137
         ! $result } {
138
      return false
139
   }
140
   return true
141
}
142
 
143
# 
144
# show_help: print information to help users understand the options available when
145
#            running this script.
146
# 
147
proc show_help {} {
148
 
149
   global myScript
150
 
151
   puts ""
152
   puts "usage: xtclsh $myScript <options>"
153
   puts "       or you can run xtclsh and then enter 'source $myScript'."
154
   puts ""
155
   puts "options:"
156
   puts "   run_process       - set properties and run processes."
157
   puts "   rebuild_project   - rebuild the project from scratch and run processes."
158
   puts "   set_project_props - set project properties (device, speed, etc.)"
159
   puts "   add_source_files  - add source files"
160
   puts "   create_libraries  - create vhdl libraries"
161
   puts "   set_process_props - set process property values"
162
   puts "   show_help         - print this message"
163
   puts ""
164
}
165
 
166
proc open_project {} {
167
 
168
   global myScript
169
   global myProject
170
 
171
   if { ! [ file exists ${myProject}.xise ] } {
172
      ## project file isn't there, rebuild it.
173
      puts "Project $myProject not found. Use project_rebuild to recreate it."
174
      return false
175
   }
176
 
177
   project open $myProject
178
 
179
   return true
180
 
181
}
182
# 
183
# set_project_props
184
# 
185
# This procedure sets the project properties as they were set in the project
186
# at the time this script was generated.
187
# 
188
proc set_project_props {} {
189
 
190
   global myScript
191
 
192
   if { ! [ open_project ] } {
193
      return false
194
   }
195
 
196
   puts "$myScript: Setting project properties..."
197
 
198
   project set family "Spartan3A and Spartan3AN"
199
   project set device "xc3s700an"
200
   project set package "fgg484"
201
   project set speed "-4"
202
   project set top_level_module_type "HDL"
203
   project set synthesis_tool "XST (VHDL/Verilog)"
204
   project set simulator "ISim (VHDL/Verilog)"
205
   project set "Preferred Language" "Verilog"
206
   project set "Enable Message Filtering" "false"
207
 
208
}
209
 
210
 
211
# 
212
# add_source_files
213
# 
214
# This procedure add the source files that were known to the project at the
215
# time this script was generated.
216
# 
217
proc add_source_files {} {
218
 
219
   global myScript
220
 
221
   if { ! [ open_project ] } {
222
      return false
223
   }
224
 
225
   puts "$myScript: Adding sources to project..."
226
 
227
   xfile add "../../../../../rtl/verilog/fifos.v"
228
   xfile add "../../../../../rtl/verilog/wb_interface.v"
229
   xfile add "../../../../../rtl/verilog/wiegand_tx_top.v"
230
   xfile add "wiegand_tx_top.ucf"
231
 
232
   # Set the Top Module as well...
233
   project set top "wiegand_tx_top"
234
 
235
   puts "$myScript: project sources reloaded."
236
 
237
} ; # end add_source_files
238
 
239
# 
240
# create_libraries
241
# 
242
# This procedure defines VHDL libraries and associates files with those libraries.
243
# It is expected to be used when recreating the project. Any libraries defined
244
# when this script was generated are recreated by this procedure.
245
# 
246
proc create_libraries {} {
247
 
248
   global myScript
249
 
250
   if { ! [ open_project ] } {
251
      return false
252
   }
253
 
254
   puts "$myScript: Creating libraries..."
255
 
256
 
257
   # must close the project or library definitions aren't saved.
258
   project save
259
 
260
} ; # end create_libraries
261
 
262
# 
263
# set_process_props
264
# 
265
# This procedure sets properties as requested during script generation (either
266
# all of the properties, or only those modified from their defaults).
267
# 
268
proc set_process_props {} {
269
 
270
   global myScript
271
 
272
   if { ! [ open_project ] } {
273
      return false
274
   }
275
 
276
   puts "$myScript: setting process properties..."
277
 
278
   project set "Compiled Library Directory" "\$XILINX/<language>/<simulator>"
279
   project set "Multiplier Style" "Auto" -process "Synthesize - XST"
280
   project set "Configuration Rate" "25" -process "Generate Programming File"
281
   project set "Number of Clock Buffers" "24" -process "Synthesize - XST"
282
   project set "Max Fanout" "100000" -process "Synthesize - XST"
283
   project set "Regenerate Core" "Under Current Project Setting" -process "Regenerate Core"
284
   project set "Filter Files From Compile Order" "true"
285
   project set "Last Applied Goal" "Balanced"
286
   project set "Last Applied Strategy" "Xilinx Default (unlocked)"
287
   project set "Last Unlock Status" "false"
288
   project set "Manual Compile Order" "false"
289
   project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Place & Route Static Timing"
290
   project set "Generate Datasheet Section" "true" -process "Generate Post-Place & Route Static Timing"
291
   project set "Generate Timegroups Section" "false" -process "Generate Post-Place & Route Static Timing"
292
   project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Map Static Timing"
293
   project set "Generate Datasheet Section" "true" -process "Generate Post-Map Static Timing"
294
   project set "Generate Timegroups Section" "false" -process "Generate Post-Map Static Timing"
295
   project set "Project Description" ""
296
   project set "Property Specification in Project File" "Store all values"
297
   project set "Case Implementation Style" "None" -process "Synthesize - XST"
298
   project set "Decoder Extraction" "true" -process "Synthesize - XST"
299
   project set "Priority Encoder Extraction" "Yes" -process "Synthesize - XST"
300
   project set "Mux Extraction" "Yes" -process "Synthesize - XST"
301
   project set "RAM Extraction" "true" -process "Synthesize - XST"
302
   project set "ROM Extraction" "true" -process "Synthesize - XST"
303
   project set "FSM Encoding Algorithm" "Auto" -process "Synthesize - XST"
304
   project set "Logical Shifter Extraction" "true" -process "Synthesize - XST"
305
   project set "Optimization Goal" "Speed" -process "Synthesize - XST"
306
   project set "Optimization Effort" "Normal" -process "Synthesize - XST"
307
   project set "Resource Sharing" "true" -process "Synthesize - XST"
308
   project set "Shift Register Extraction" "true" -process "Synthesize - XST"
309
   project set "XOR Collapsing" "true" -process "Synthesize - XST"
310
   project set "User Browsed Strategy Files" ""
311
   project set "VHDL Source Analysis Standard" "VHDL-93"
312
   project set "Input TCL Command Script" "" -process "Generate Text Power Report"
313
   project set "Load Physical Constraints File" "Default" -process "Analyze Power Distribution (XPower Analyzer)"
314
   project set "Load Physical Constraints File" "Default" -process "Generate Text Power Report"
315
   project set "Load Simulation File" "Default" -process "Analyze Power Distribution (XPower Analyzer)"
316
   project set "Load Simulation File" "Default" -process "Generate Text Power Report"
317
   project set "Load Setting File" "" -process "Analyze Power Distribution (XPower Analyzer)"
318
   project set "Load Setting File" "" -process "Generate Text Power Report"
319
   project set "Setting Output File" "" -process "Generate Text Power Report"
320
   project set "Produce Verbose Report" "false" -process "Generate Text Power Report"
321
   project set "Other XPWR Command Line Options" "" -process "Generate Text Power Report"
322
   project set "Other Bitgen Command Line Options" "" -process "Generate Programming File"
323
   project set "Maximum Signal Name Length" "20" -process "Generate IBIS Model"
324
   project set "Show All Models" "false" -process "Generate IBIS Model"
325
   project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK with Bitstream"
326
   project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK without Bitstream"
327
   project set "Target UCF File Name" "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/ise/wiegand_tx_top/wiegand_tx_top.ucf" -process "Back-annotate Pin Locations"
328
   project set "Ignore User Timing Constraints" "false" -process "Map"
329
   project set "Use RLOC Constraints" "Yes" -process "Map"
330
   project set "Other Map Command Line Options" "" -process "Map"
331
   project set "Use LOC Constraints" "true" -process "Translate"
332
   project set "Other Ngdbuild Command Line Options" "" -process "Translate"
333
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "Floorplan Area/IO/Logic (PlanAhead)"
334
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Pre-Synthesis"
335
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Post-Synthesis"
336
   project set "Ignore User Timing Constraints" "false" -process "Place & Route"
337
   project set "Other Place & Route Command Line Options" "" -process "Place & Route"
338
   project set "UserID Code (8 Digit Hexadecimal)" "0xFFFFFFFF" -process "Generate Programming File"
339
   project set "Configuration Pin Done" "Pull Up" -process "Generate Programming File"
340
   project set "Create ASCII Configuration File" "false" -process "Generate Programming File"
341
   project set "Create Bit File" "true" -process "Generate Programming File"
342
   project set "Enable BitStream Compression" "false" -process "Generate Programming File"
343
   project set "Run Design Rules Checker (DRC)" "true" -process "Generate Programming File"
344
   project set "Enable Cyclic Redundancy Checking (CRC)" "true" -process "Generate Programming File"
345
   project set "Create IEEE 1532 Configuration File" "false" -process "Generate Programming File"
346
   project set "Configuration Pin Program" "Pull Up" -process "Generate Programming File"
347
   project set "Place MultiBoot Settings into Bitstream" "false" -process "Generate Programming File"
348
   project set "JTAG Pin TCK" "Pull Up" -process "Generate Programming File"
349
   project set "JTAG Pin TDI" "Pull Up" -process "Generate Programming File"
350
   project set "JTAG Pin TDO" "Pull Up" -process "Generate Programming File"
351
   project set "JTAG Pin TMS" "Pull Up" -process "Generate Programming File"
352
   project set "Unused IOB Pins" "Pull Down" -process "Generate Programming File"
353
   project set "Security" "Enable Readback and Reconfiguration" -process "Generate Programming File"
354
   project set "FPGA Start-Up Clock" "CCLK" -process "Generate Programming File"
355
   project set "Done (Output Events)" "Default (4)" -process "Generate Programming File"
356
   project set "Drive Done Pin High" "false" -process "Generate Programming File"
357
   project set "Enable Outputs (Output Events)" "Default (5)" -process "Generate Programming File"
358
   project set "Wait for DLL Lock (Output Events)" "Default (NoWait)" -process "Generate Programming File"
359
   project set "Release Write Enable (Output Events)" "Default (6)" -process "Generate Programming File"
360
   project set "Enable Internal Done Pipe" "true" -process "Generate Programming File"
361
   project set "Drive Awake Pin During Suspend/Wake Sequence" "false" -process "Generate Programming File"
362
   project set "Enable Suspend/Wake Global Set/Reset" "false" -process "Generate Programming File"
363
   project set "Enable Power-On Reset Detection" "true" -process "Generate Programming File"
364
   project set "GTS Cycle During Suspend/Wakeup Sequence" "4" -process "Generate Programming File"
365
   project set "GWE Cycle During Suspend/Wakeup Sequence" "5" -process "Generate Programming File"
366
   project set "Wakeup Clock" "Startup Clock" -process "Generate Programming File"
367
   project set "Allow Logic Optimization Across Hierarchy" "false" -process "Map"
368
   project set "Optimization Strategy (Cover Mode)" "Area" -process "Map"
369
   project set "Pack I/O Registers/Latches into IOBs" "Off" -process "Map"
370
   project set "Generate Detailed MAP Report" "false" -process "Map"
371
   project set "Map Slice Logic into Unused Block RAMs" "false" -process "Map"
372
   project set "Perform Timing-Driven Packing and Placement" "false" -process "Map"
373
   project set "Trim Unconnected Signals" "true" -process "Map"
374
   project set "Create I/O Pads from Ports" "false" -process "Translate"
375
   project set "Macro Search Path" "" -process "Translate"
376
   project set "Netlist Translation Type" "Timestamp" -process "Translate"
377
   project set "User Rules File for Netlister Launcher" "" -process "Translate"
378
   project set "Allow Unexpanded Blocks" "false" -process "Translate"
379
   project set "Allow Unmatched LOC Constraints" "false" -process "Translate"
380
   project set "Allow Unmatched Timing Group Constraints" "false" -process "Translate"
381
   project set "Placer Effort Level (Overrides Overall Level)" "None" -process "Place & Route"
382
   project set "Router Effort Level (Overrides Overall Level)" "None" -process "Place & Route"
383
   project set "Place And Route Mode" "Normal Place and Route" -process "Place & Route"
384
   project set "Perform Advanced Analysis" "false" -process "Generate Post-Place & Route Static Timing"
385
   project set "Report Paths by Endpoint" "3" -process "Generate Post-Place & Route Static Timing"
386
   project set "Report Type" "Verbose Report" -process "Generate Post-Place & Route Static Timing"
387
   project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Place & Route Static Timing"
388
   project set "Stamp Timing Model Filename" "" -process "Generate Post-Place & Route Static Timing"
389
   project set "Report Unconstrained Paths" "" -process "Generate Post-Place & Route Static Timing"
390
   project set "Perform Advanced Analysis" "false" -process "Generate Post-Map Static Timing"
391
   project set "Report Paths by Endpoint" "3" -process "Generate Post-Map Static Timing"
392
   project set "Report Type" "Verbose Report" -process "Generate Post-Map Static Timing"
393
   project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Map Static Timing"
394
   project set "Report Unconstrained Paths" "" -process "Generate Post-Map Static Timing"
395
   project set "Add I/O Buffers" "true" -process "Synthesize - XST"
396
   project set "Global Optimization Goal" "AllClockNets" -process "Synthesize - XST"
397
   project set "Keep Hierarchy" "No" -process "Synthesize - XST"
398
   project set "Register Balancing" "No" -process "Synthesize - XST"
399
   project set "Register Duplication" "true" -process "Synthesize - XST"
400
   project set "Asynchronous To Synchronous" "false" -process "Synthesize - XST"
401
   project set "Automatic BRAM Packing" "false" -process "Synthesize - XST"
402
   project set "BRAM Utilization Ratio" "100" -process "Synthesize - XST"
403
   project set "Bus Delimiter" "<>" -process "Synthesize - XST"
404
   project set "Case" "Maintain" -process "Synthesize - XST"
405
   project set "Cores Search Directories" "" -process "Synthesize - XST"
406
   project set "Cross Clock Analysis" "false" -process "Synthesize - XST"
407
   project set "Equivalent Register Removal" "true" -process "Synthesize - XST"
408
   project set "FSM Style" "LUT" -process "Synthesize - XST"
409
   project set "Generate RTL Schematic" "Yes" -process "Synthesize - XST"
410
   project set "Generics, Parameters" "" -process "Synthesize - XST"
411
   project set "Hierarchy Separator" "/" -process "Synthesize - XST"
412
   project set "HDL INI File" "" -process "Synthesize - XST"
413
   project set "Library Search Order" "" -process "Synthesize - XST"
414
   project set "Netlist Hierarchy" "As Optimized" -process "Synthesize - XST"
415
   project set "Optimize Instantiated Primitives" "false" -process "Synthesize - XST"
416
   project set "Pack I/O Registers into IOBs" "Auto" -process "Synthesize - XST"
417
   project set "Read Cores" "true" -process "Synthesize - XST"
418
   project set "Slice Packing" "true" -process "Synthesize - XST"
419
   project set "Slice Utilization Ratio" "100" -process "Synthesize - XST"
420
   project set "Use Clock Enable" "Yes" -process "Synthesize - XST"
421
   project set "Use Synchronous Reset" "Yes" -process "Synthesize - XST"
422
   project set "Use Synchronous Set" "Yes" -process "Synthesize - XST"
423
   project set "Use Synthesis Constraints File" "true" -process "Synthesize - XST"
424
   project set "Verilog Include Directories" "" -process "Synthesize - XST"
425
   project set "Verilog 2001" "true" -process "Synthesize - XST"
426
   project set "Verilog Macros" "" -process "Synthesize - XST"
427
   project set "Work Directory" "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/ise/wiegand_tx_top/xst" -process "Synthesize - XST"
428
   project set "Write Timing Constraints" "false" -process "Synthesize - XST"
429
   project set "Other XST Command Line Options" "" -process "Synthesize - XST"
430
   project set "Auto Implementation Compile Order" "true"
431
   project set "Map Effort Level" "High" -process "Map"
432
   project set "Combinatorial Logic Optimization" "false" -process "Map"
433
   project set "Starting Placer Cost Table (1-100)" "1" -process "Map"
434
   project set "Power Reduction" "false" -process "Map"
435
   project set "Register Duplication" "Off" -process "Map"
436
   project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Map Static Timing"
437
   project set "Synthesis Constraints File" "" -process "Synthesize - XST"
438
   project set "Mux Style" "Auto" -process "Synthesize - XST"
439
   project set "RAM Style" "Auto" -process "Synthesize - XST"
440
   project set "Maximum Number of Lines in Report" "1000" -process "Generate Text Power Report"
441
   project set "Output File Name" "wiegand_tx_top" -process "Generate IBIS Model"
442
   project set "Timing Mode" "Non Timing Driven" -process "Map"
443
   project set "Generate Asynchronous Delay Report" "false" -process "Place & Route"
444
   project set "Generate Clock Region Report" "false" -process "Place & Route"
445
   project set "Generate Post-Place & Route Power Report" "false" -process "Place & Route"
446
   project set "Generate Post-Place & Route Simulation Model" "false" -process "Place & Route"
447
   project set "Power Reduction" "false" -process "Place & Route"
448
   project set "Timing Mode" "Performance Evaluation" -process "Place & Route"
449
   project set "Create Binary Configuration File" "false" -process "Generate Programming File"
450
   project set "Enable Debugging of Serial Mode BitStream" "false" -process "Generate Programming File"
451
   project set "Retry Configuration if CRC Error Occurs" "false" -process "Generate Programming File"
452
   project set "MultiBoot: Starting Address for Next Configuration" "0x00000000" -process "Generate Programming File"
453
   project set "MultiBoot: Use New Mode for Next Configuration" "true" -process "Generate Programming File"
454
   project set "Enable Filter on Suspend Input" "Please use the ENABLE_SUSPEND implementation constraint." -process "Generate Programming File"
455
   project set "CLB Pack Factor Percentage" "100" -process "Map"
456
   project set "Place & Route Effort Level (Overall)" "High" -process "Place & Route"
457
   project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Place & Route Static Timing"
458
   project set "Move First Flip-Flop Stage" "true" -process "Synthesize - XST"
459
   project set "Move Last Flip-Flop Stage" "true" -process "Synthesize - XST"
460
   project set "ROM Style" "Auto" -process "Synthesize - XST"
461
   project set "Safe Implementation" "No" -process "Synthesize - XST"
462
   project set "Extra Effort" "None" -process "Map"
463
   project set "Power Activity File" "" -process "Map"
464
   project set "Power Activity File" "" -process "Place & Route"
465
   project set "MultiBoot: Next Configuration Mode" "001" -process "Generate Programming File"
466
   project set "Extra Effort (Highest PAR level only)" "None" -process "Place & Route"
467
   project set "Starting Placer Cost Table (1-100)" "1" -process "Place & Route"
468
   project set "Functional Model Target Language" "Verilog" -process "View HDL Source"
469
   project set "Change Device Speed To" "-4" -process "Generate Post-Place & Route Static Timing"
470
   project set "Change Device Speed To" "-4" -process "Generate Post-Map Static Timing"
471
 
472
   puts "$myScript: project property values set."
473
 
474
} ; # end set_process_props
475
 
476
proc main {} {
477
 
478
   if { [llength $::argv] == 0 } {
479
      show_help
480
      return true
481
   }
482
 
483
   foreach option $::argv {
484
      switch $option {
485
         "show_help"           { show_help }
486
         "run_process"         { run_process }
487
         "rebuild_project"     { rebuild_project }
488
         "set_project_props"   { set_project_props }
489
         "add_source_files"    { add_source_files }
490
         "create_libraries"    { create_libraries }
491
         "set_process_props"   { set_process_props }
492
         default               { puts "unrecognized option: $option"; show_help }
493
      }
494
   }
495
}
496
 
497
if { $tcl_interactive } {
498
   show_help
499
} else {
500
   if {[catch {main} result]} {
501
      puts "$myScript failed: $result."
502
   }
503
}
504
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.