OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [xilinx/] [wiegand_tx/] [vivado/] [wiegand_tx_top/] [wiegand_tx_top.tcl] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 jeaander
#
2
# Vivado (TM) v2014.2 (64-bit)
3
#
4
# .tcl: Tcl script for re-creating project 'wiegand_tx_top'
5
#
6
# Generated by Vivado on Mon Feb 16 11:27:17 -0500 2015
7
# IP Build 924643 on Fri May 30 09:20:16 MDT 2014
8
#
9
# This file contains the Vivado Tcl commands for re-creating the project to the state*
10
# when this script was generated. In order to re-create the project, please source this
11
# file in the Vivado Tcl Shell.
12
#
13
# * Note that the runs in the created project will be configured the same way as the
14
#   original project, however they will not be launched automatically. To regenerate the
15
#   run results please launch the synthesis/implementation runs as needed.
16
#
17
#*****************************************************************************************
18
# NOTE: In order to use this script for source control purposes, please make sure that the
19
#       following files are added to the source control system:-
20
#
21
# 1. This project restoration tcl script (.tcl) that was generated.
22
#
23
# 2. The following source(s) files that were local or imported into the original project.
24
#    (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
25
#
26
#    <none>
27
#
28
# 3. The following remote source files that were added to the original project:-
29
#
30
#    "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_defines.v"
31
#    "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v"
32
#    "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v"
33
#    "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v"
34
#    "C:/Users/jeffA/Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/vivado/wiegand_tx_top/wiegand_tx_top.srcs/constrs_1/new/wiegand_tx_top.xdc"
35
#
36
#*****************************************************************************************
37
 
38
# Set the reference directory for source file relative paths (by default the value is script directory path)
39
set origin_dir "."
40
 
41
# Set the directory path for the original project from where this script was exported
42
set orig_proj_dir "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/vivado/wiegand_tx_top"]"
43
 
44
# Create project
45
create_project wiegand_tx_top ./wiegand_tx_top
46
 
47
# Set the directory path for the new project
48
set proj_dir [get_property directory [current_project]]
49
 
50
# Set project properties
51
set obj [get_projects wiegand_tx_top]
52
set_property "board_part" "" $obj
53
set_property "compxlib.compiled_library_dir" "$proj_dir/wiegand_tx_top.cache/compile_simlib" $obj
54
set_property "compxlib.edk.exclude_sub_libs" "0" $obj
55
set_property "compxlib.edk.exclude_superseded_cores" "1" $obj
56
set_property "compxlib.edk.previous_lib_path" "" $obj
57
set_property "compxlib.edk.source_lib" "" $obj
58
set_property "compxlib.edklib" "0" $obj
59
set_property "compxlib.funcsim" "1" $obj
60
set_property "compxlib.overwrite_libs" "0" $obj
61
set_property "compxlib.timesim" "1" $obj
62
set_property "compxlib.xilinxcorelib" "1" $obj
63
set_property "default_lib" "xil_defaultlib" $obj
64
set_property "managed_ip" "0" $obj
65
set_property "part" "xc7vx485tffg1157-1" $obj
66
set_property "simulator_language" "Mixed" $obj
67
set_property "source_mgmt_mode" "All" $obj
68
set_property "target_language" "Verilog" $obj
69
set_property "target_simulator" "XSim" $obj
70
 
71
# Create 'sources_1' fileset (if not found)
72
if {[string equal [get_filesets -quiet sources_1] ""]} {
73
  create_fileset -srcset sources_1
74
}
75
 
76
# Set 'sources_1' fileset object
77
set obj [get_filesets sources_1]
78
set files [list \
79
 "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_defines.v"]"\
80
 "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v"]"\
81
 "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v"]"\
82
 "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v"]"\
83
]
84
add_files -norecurse -fileset $obj $files
85
 
86
# Set 'sources_1' fileset file properties for remote files
87
set file "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_defines.v"
88
set file [file normalize $file]
89
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
90
set_property "file_type" "Verilog Header" $file_obj
91
set_property "is_enabled" "1" $file_obj
92
set_property "is_global_include" "0" $file_obj
93
set_property "library" "xil_defaultlib" $file_obj
94
set_property "path_mode" "RelativeFirst" $file_obj
95
set_property "used_in" "synthesis simulation" $file_obj
96
set_property "used_in_simulation" "1" $file_obj
97
set_property "used_in_synthesis" "1" $file_obj
98
 
99
set file "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v"
100
set file [file normalize $file]
101
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
102
set_property "file_type" "Verilog" $file_obj
103
set_property "is_enabled" "1" $file_obj
104
set_property "is_global_include" "0" $file_obj
105
set_property "library" "xil_defaultlib" $file_obj
106
set_property "path_mode" "RelativeFirst" $file_obj
107
set_property "used_in" "synthesis implementation simulation" $file_obj
108
set_property "used_in_implementation" "1" $file_obj
109
set_property "used_in_simulation" "1" $file_obj
110
set_property "used_in_synthesis" "1" $file_obj
111
 
112
set file "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v"
113
set file [file normalize $file]
114
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
115
set_property "file_type" "Verilog" $file_obj
116
set_property "is_enabled" "1" $file_obj
117
set_property "is_global_include" "0" $file_obj
118
set_property "library" "xil_defaultlib" $file_obj
119
set_property "path_mode" "RelativeFirst" $file_obj
120
set_property "used_in" "synthesis implementation simulation" $file_obj
121
set_property "used_in_implementation" "1" $file_obj
122
set_property "used_in_simulation" "1" $file_obj
123
set_property "used_in_synthesis" "1" $file_obj
124
 
125
set file "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v"
126
set file [file normalize $file]
127
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
128
set_property "file_type" "Verilog" $file_obj
129
set_property "is_enabled" "1" $file_obj
130
set_property "is_global_include" "0" $file_obj
131
set_property "library" "xil_defaultlib" $file_obj
132
set_property "path_mode" "RelativeFirst" $file_obj
133
set_property "used_in" "synthesis implementation simulation" $file_obj
134
set_property "used_in_implementation" "1" $file_obj
135
set_property "used_in_simulation" "1" $file_obj
136
set_property "used_in_synthesis" "1" $file_obj
137
 
138
 
139
# Set 'sources_1' fileset file properties for local files
140
# None
141
 
142
# Set 'sources_1' fileset properties
143
set obj [get_filesets sources_1]
144
set_property "design_mode" "RTL" $obj
145
set_property "edif_extra_search_paths" "" $obj
146
set_property "generic" "" $obj
147
set_property "include_dirs" "" $obj
148
set_property "lib_map_file" "" $obj
149
set_property "loop_count" "1000" $obj
150
set_property "name" "sources_1" $obj
151
set_property "top" "wiegand_tx_top" $obj
152
set_property "verilog_define" "" $obj
153
set_property "verilog_uppercase" "0" $obj
154
 
155
# Create 'constrs_1' fileset (if not found)
156
if {[string equal [get_filesets -quiet constrs_1] ""]} {
157
  create_fileset -constrset constrs_1
158
}
159
 
160
# Set 'constrs_1' fileset object
161
set obj [get_filesets constrs_1]
162
 
163
# Add/Import constrs file and set constrs file properties
164
set file "[file normalize "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/vivado/wiegand_tx_top/wiegand_tx_top.srcs/constrs_1/new/wiegand_tx_top.xdc"]"
165
set file_added [add_files -norecurse -fileset $obj $file]
166
set file "$origin_dir/../../../../Desktop/rtl/wiegand/trunk/syn/xilinx/wiegand_tx/vivado/wiegand_tx_top/wiegand_tx_top.srcs/constrs_1/new/wiegand_tx_top.xdc"
167
set file [file normalize $file]
168
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
169
set_property "file_type" "XDC" $file_obj
170
set_property "is_enabled" "1" $file_obj
171
set_property "is_global_include" "0" $file_obj
172
set_property "library" "xil_defaultlib" $file_obj
173
set_property "path_mode" "RelativeFirst" $file_obj
174
set_property "processing_order" "NORMAL" $file_obj
175
set_property "scoped_to_cells" "" $file_obj
176
set_property "scoped_to_ref" "" $file_obj
177
set_property "used_in" "synthesis implementation" $file_obj
178
set_property "used_in_implementation" "1" $file_obj
179
set_property "used_in_synthesis" "1" $file_obj
180
 
181
# Set 'constrs_1' fileset properties
182
set obj [get_filesets constrs_1]
183
set_property "name" "constrs_1" $obj
184
set_property "target_constrs_file" "" $obj
185
 
186
# Create 'sim_1' fileset (if not found)
187
if {[string equal [get_filesets -quiet sim_1] ""]} {
188
  create_fileset -simset sim_1
189
}
190
 
191
# Set 'sim_1' fileset object
192
set obj [get_filesets sim_1]
193
# Empty (no sources present)
194
 
195
# Set 'sim_1' fileset properties
196
set obj [get_filesets sim_1]
197
set_property "generic" "" $obj
198
set_property "include_dirs" "" $obj
199
set_property "name" "sim_1" $obj
200
set_property "nl.cell" "" $obj
201
set_property "nl.incl_unisim_models" "0" $obj
202
set_property "nl.process_corner" "slow" $obj
203
set_property "nl.rename_top" "" $obj
204
set_property "nl.sdf_anno" "1" $obj
205
set_property "nl.write_all_overrides" "0" $obj
206
set_property "runtime" "1000ns" $obj
207
set_property "source_set" "sources_1" $obj
208
set_property "top" "wiegand_tx_top" $obj
209
set_property "unit_under_test" "" $obj
210
set_property "verilog_define" "" $obj
211
set_property "verilog_uppercase" "0" $obj
212
set_property "xelab.debug_level" "typical" $obj
213
set_property "xelab.dll" "0" $obj
214
set_property "xelab.load_glbl" "1" $obj
215
set_property "xelab.more_options" "" $obj
216
set_property "xelab.mt_level" "auto" $obj
217
set_property "xelab.nosort" "0" $obj
218
set_property "xelab.rangecheck" "0" $obj
219
set_property "xelab.relax" "1" $obj
220
set_property "xelab.sdf_delay" "sdfmax" $obj
221
set_property "xelab.snapshot" "" $obj
222
set_property "xelab.unifast" "0" $obj
223
set_property "xsim.more_options" "" $obj
224
set_property "xsim.saif" "" $obj
225
set_property "xsim.tclbatch" "" $obj
226
set_property "xsim.view" "" $obj
227
set_property "xsim.wdb" "" $obj
228
 
229
# Create 'synth_1' run (if not found)
230
if {[string equal [get_runs -quiet synth_1] ""]} {
231
  create_run -name synth_1 -part xc7vx485tffg1157-1 -flow {Vivado Synthesis 2014} -strategy "Vivado Synthesis Defaults" -constrset constrs_1
232
} else {
233
  set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
234
  set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
235
}
236
set obj [get_runs synth_1]
237
set_property "constrset" "constrs_1" $obj
238
set_property "description" "Vivado Synthesis Defaults" $obj
239
set_property "flow" "Vivado Synthesis 2014" $obj
240
set_property "name" "synth_1" $obj
241
set_property "needs_refresh" "0" $obj
242
set_property "part" "xc7vx485tffg1157-1" $obj
243
set_property "srcset" "sources_1" $obj
244
set_property "strategy" "Vivado Synthesis Defaults" $obj
245
set_property "incremental_checkpoint" "" $obj
246
set_property "steps.synth_design.tcl.pre" "" $obj
247
set_property "steps.synth_design.tcl.post" "" $obj
248
set_property "steps.synth_design.args.flatten_hierarchy" "rebuilt" $obj
249
set_property "steps.synth_design.args.gated_clock_conversion" "off" $obj
250
set_property "steps.synth_design.args.bufg" "12" $obj
251
set_property "steps.synth_design.args.fanout_limit" "10000" $obj
252
set_property "steps.synth_design.args.directive" "Default" $obj
253
set_property "steps.synth_design.args.fsm_extraction" "auto" $obj
254
set_property "steps.synth_design.args.keep_equivalent_registers" "0" $obj
255
set_property "steps.synth_design.args.resource_sharing" "auto" $obj
256
set_property "steps.synth_design.args.control_set_opt_threshold" "4" $obj
257
set_property "steps.synth_design.args.no_lc" "0" $obj
258
set_property "steps.synth_design.args.shreg_min_size" "3" $obj
259
set_property "steps.synth_design.args.max_bram" "-1" $obj
260
set_property "steps.synth_design.args.max_dsp" "-1" $obj
261
set_property -name {steps.synth_design.args.more options} -value {} -objects $obj
262
 
263
# set the current synth run
264
current_run -synthesis [get_runs synth_1]
265
 
266
# Create 'impl_1' run (if not found)
267
if {[string equal [get_runs -quiet impl_1] ""]} {
268
  create_run -name impl_1 -part xc7vx485tffg1157-1 -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
269
} else {
270
  set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
271
  set_property flow "Vivado Implementation 2014" [get_runs impl_1]
272
}
273
set obj [get_runs impl_1]
274
set_property "constrset" "constrs_1" $obj
275
set_property "description" "Vivado Implementation Defaults" $obj
276
set_property "flow" "Vivado Implementation 2014" $obj
277
set_property "name" "impl_1" $obj
278
set_property "needs_refresh" "0" $obj
279
set_property "part" "xc7vx485tffg1157-1" $obj
280
set_property "srcset" "sources_1" $obj
281
set_property "strategy" "Vivado Implementation Defaults" $obj
282
set_property "incremental_checkpoint" "" $obj
283
set_property "steps.opt_design.is_enabled" "1" $obj
284
set_property "steps.opt_design.tcl.pre" "" $obj
285
set_property "steps.opt_design.tcl.post" "" $obj
286
set_property "steps.opt_design.args.verbose" "0" $obj
287
set_property "steps.opt_design.args.directive" "Default" $obj
288
set_property -name {steps.opt_design.args.more options} -value {} -objects $obj
289
set_property "steps.power_opt_design.is_enabled" "0" $obj
290
set_property "steps.power_opt_design.tcl.pre" "" $obj
291
set_property "steps.power_opt_design.tcl.post" "" $obj
292
set_property -name {steps.power_opt_design.args.more options} -value {} -objects $obj
293
set_property "steps.place_design.tcl.pre" "" $obj
294
set_property "steps.place_design.tcl.post" "" $obj
295
set_property "steps.place_design.args.directive" "Default" $obj
296
set_property -name {steps.place_design.args.more options} -value {} -objects $obj
297
set_property "steps.post_place_power_opt_design.is_enabled" "0" $obj
298
set_property "steps.post_place_power_opt_design.tcl.pre" "" $obj
299
set_property "steps.post_place_power_opt_design.tcl.post" "" $obj
300
set_property -name {steps.post_place_power_opt_design.args.more options} -value {} -objects $obj
301
set_property "steps.phys_opt_design.is_enabled" "0" $obj
302
set_property "steps.phys_opt_design.tcl.pre" "" $obj
303
set_property "steps.phys_opt_design.tcl.post" "" $obj
304
set_property "steps.phys_opt_design.args.directive" "Default" $obj
305
set_property -name {steps.phys_opt_design.args.more options} -value {} -objects $obj
306
set_property "steps.route_design.tcl.pre" "" $obj
307
set_property "steps.route_design.tcl.post" "" $obj
308
set_property "steps.route_design.args.directive" "Default" $obj
309
set_property -name {steps.route_design.args.more options} -value {} -objects $obj
310
set_property "steps.post_route_phys_opt_design.is_enabled" "0" $obj
311
set_property "steps.post_route_phys_opt_design.tcl.pre" "" $obj
312
set_property "steps.post_route_phys_opt_design.tcl.post" "" $obj
313
set_property "steps.post_route_phys_opt_design.args.directive" "Default" $obj
314
set_property -name {steps.post_route_phys_opt_design.args.more options} -value {} -objects $obj
315
set_property "steps.write_bitstream.tcl.pre" "" $obj
316
set_property "steps.write_bitstream.tcl.post" "" $obj
317
set_property "steps.write_bitstream.args.raw_bitfile" "0" $obj
318
set_property "steps.write_bitstream.args.mask_file" "0" $obj
319
set_property "steps.write_bitstream.args.no_binary_bitfile" "0" $obj
320
set_property "steps.write_bitstream.args.bin_file" "0" $obj
321
set_property "steps.write_bitstream.args.logic_location_file" "0" $obj
322
set_property -name {steps.write_bitstream.args.more options} -value {} -objects $obj
323
 
324
# set the current impl run
325
current_run -implementation [get_runs impl_1]
326
 
327
puts "INFO: Project created:wiegand_tx_top"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.