OpenCores
URL https://opencores.org/ocsvn/wishbone_spi_flash_interface/wishbone_spi_flash_interface/trunk

Subversion Repositories wishbone_spi_flash_interface

[/] [wishbone_spi_flash_interface/] [trunk/] [spi_flash_sim.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jclaytons
0D ; Carriage return for autobaud
2
0A ;
3
77 ; Write MAC address
4
20 ;
5
46 ;
6
36 ;
7
20 ;
8
30 ;
9
30 ;
10
20 ;
11
30 ;
12
44 ;
13
20 ;
14
39 ;
15
39 ;
16
20 ;
17
30 ;
18
31 ;
19
20 ;
20
30 ;
21
30 ;
22
20 ;
23
30 ;
24
34 ;
25
0D ;
26
0A ;
27
77 ; Wrote IP Address
28
20 ;
29
46 ;
30
43 ;
31
20 ;
32
41 ;
33
43 ;
34
20 ;
35
31 ;
36
37 ;
37
20 ;
38
35 ;
39
30 ;
40
20 ;
41
31 ;
42
41 ;
43
0D ;
44
0A ;
45
23 ; Comment
46
20 ;
47
53 ;
48
65 ;
49
6C ;
50
66 ;
51
20 ;
52
4D ;
53
41 ;
54
43 ;
55
20 ;
56
26 ;
57
20 ;
58
49 ;
59
50 ;
60
20 ;
61
41 ;
62
64 ;
63
64 ;
64
72 ;
65
65 ;
66
73 ;
67
73 ;
68
65 ;
69
73 ;
70
20 ;
71
69 ;
72
6E ;
73
69 ;
74
74 ;
75
69 ;
76
61 ;
77
6C ;
78
69 ;
79
7A ;
80
65 ;
81
64 ;
82
2E ;
83
0D ;
84
0A ;
85
00 ;
86
00 ;
87
00 ;
88
00 ;
89
06 ;
90
07 ;
91
08 ;
92
09 ;
93
0A ;
94
0B ;
95
0C ;
96
0D ;
97
0E ;
98
0F ;
99
00 ;
100
01 ;
101
02 ;
102
03 ;
103
04 ;
104
05 ;
105
06 ;
106
07 ;
107
08 ;
108
09 ;
109
0A ;
110
0B ;
111
0C ;
112
0D ;
113
0E ;
114
0F ;
115
00 ;
116
01 ;
117
02 ;
118
03 ;
119
04 ;
120
05 ;
121
06 ;
122
07 ;
123
08 ;
124
09 ;
125
0A ;
126
0B ;
127
0C ;
128
0D ;
129
0E ;
130
0F ;
131
00 ;
132
01 ;
133
02 ;
134
03 ;
135
04 ;
136
05 ;
137
06 ;
138
07 ;
139
08 ;
140
09 ;
141
0A ;
142
0B ;
143
0C ;
144
0D ;
145
0E ;
146
0F ;
147
00 ;
148
01 ;
149
02 ;
150
03 ;
151
04 ;
152
05 ;
153
06 ;
154
07 ;
155
08 ;
156
09 ;
157
0A ;
158
0B ;
159
0C ;
160
0D ;
161
0E ;
162
0F ;
163
00 ;
164
01 ;
165
02 ;
166
03 ;
167
04 ;
168
05 ;
169
06 ;
170
07 ;
171
08 ;
172
09 ;
173
0A ;
174
0B ;
175
0C ;
176
0D ;
177
0E ;
178
0F ;
179
00 ;
180
01 ;
181
02 ;
182
03 ;
183
04 ;
184
05 ;
185
06 ;
186
07 ;
187
08 ;
188
09 ;
189
0A ;
190
0B ;
191
0C ;
192
0D ;
193
0E ;
194
0F ;
195
00 ;
196
01 ;
197
02 ;
198
03 ;
199
04 ;
200
05 ;
201
06 ;
202
07 ;
203
08 ;
204
09 ;
205
0A ;
206
0B ;
207
0C ;
208
0D ;
209
0E ;
210
0F ;
211
00 ;
212
01 ;
213
02 ;
214
03 ;
215
04 ;
216
05 ;
217
06 ;
218
07 ;
219
08 ;
220
09 ;
221
0A ;
222
0B ;
223
0C ;
224
0D ;
225
0E ;
226
0F ;
227
00 ;
228
01 ;
229
02 ;
230
03 ;
231
04 ;
232
05 ;
233
06 ;
234
07 ;
235
08 ;
236
09 ;
237
0A ;
238
0B ;
239
0C ;
240
0D ;
241
0E ;
242
0F ;
243
00 ;
244
01 ;
245
02 ;
246
03 ;
247
04 ;
248
05 ;
249
06 ;
250
07 ;
251
08 ;
252
09 ;
253
0A ;
254
0B ;
255
0C ;
256
0D ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.