OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [rxaui_0_stub.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
2
-- --------------------------------------------------------------------------------
3
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
4
-- Date        : Wed Mar 29 09:06:36 2017
5
-- Host        : PCKVAS running 64-bit Service Pack 1  (build 7601)
6
-- Command     : write_vhdl -force -mode synth_stub
7
--               C:/projects/dfc/xenie/Eth_example/trunk/src/ip/rxaui_0/rxaui_0_stub.vhdl
8
-- Design      : rxaui_0
9
-- Purpose     : Stub declaration of top-level module interface
10
-- Device      : xc7k70tfbg676-1
11
-- --------------------------------------------------------------------------------
12
library IEEE;
13
use IEEE.STD_LOGIC_1164.ALL;
14
 
15
entity rxaui_0 is
16
  Port (
17
    reset : in STD_LOGIC;
18
    dclk : in STD_LOGIC;
19
    clk156_out : out STD_LOGIC;
20
    clk156_lock : out STD_LOGIC;
21
    refclk_p : in STD_LOGIC;
22
    refclk_n : in STD_LOGIC;
23
    qplloutclk_out : out STD_LOGIC;
24
    qplllock_out : out STD_LOGIC;
25
    qplloutrefclk_out : out STD_LOGIC;
26
    refclk_out : out STD_LOGIC;
27
    xgmii_txd : in STD_LOGIC_VECTOR ( 63 downto 0 );
28
    xgmii_txc : in STD_LOGIC_VECTOR ( 7 downto 0 );
29
    xgmii_rxd : out STD_LOGIC_VECTOR ( 63 downto 0 );
30
    xgmii_rxc : out STD_LOGIC_VECTOR ( 7 downto 0 );
31
    rxaui_tx_l0_p : out STD_LOGIC;
32
    rxaui_tx_l0_n : out STD_LOGIC;
33
    rxaui_tx_l1_p : out STD_LOGIC;
34
    rxaui_tx_l1_n : out STD_LOGIC;
35
    rxaui_rx_l0_p : in STD_LOGIC;
36
    rxaui_rx_l0_n : in STD_LOGIC;
37
    rxaui_rx_l1_p : in STD_LOGIC;
38
    rxaui_rx_l1_n : in STD_LOGIC;
39
    signal_detect : in STD_LOGIC_VECTOR ( 1 downto 0 );
40
    debug : out STD_LOGIC_VECTOR ( 5 downto 0 );
41
    mdc : in STD_LOGIC;
42
    mdio_in : in STD_LOGIC;
43
    mdio_out : out STD_LOGIC;
44
    mdio_tri : out STD_LOGIC;
45
    prtad : in STD_LOGIC_VECTOR ( 4 downto 0 );
46
    type_sel : in STD_LOGIC_VECTOR ( 1 downto 0 )
47
  );
48
 
49
end rxaui_0;
50
 
51
architecture stub of rxaui_0 is
52
attribute syn_black_box : boolean;
53
attribute black_box_pad_pin : string;
54
attribute syn_black_box of stub : architecture is true;
55
attribute black_box_pad_pin of stub : architecture is "reset,dclk,clk156_out,clk156_lock,refclk_p,refclk_n,qplloutclk_out,qplllock_out,qplloutrefclk_out,refclk_out,xgmii_txd[63:0],xgmii_txc[7:0],xgmii_rxd[63:0],xgmii_rxc[7:0],rxaui_tx_l0_p,rxaui_tx_l0_n,rxaui_tx_l1_p,rxaui_tx_l1_n,rxaui_rx_l0_p,rxaui_rx_l0_n,rxaui_rx_l1_p,rxaui_rx_l1_n,signal_detect[1:0],debug[5:0],mdc,mdio_in,mdio_out,mdio_tri,prtad[4:0],type_sel[1:0]";
56
attribute x_core_info : string;
57
attribute x_core_info of stub : architecture is "rxaui_v4_3_7,Vivado 2016.4";
58
begin
59
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.