OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [src/] [ip/] [rxaui_0/] [synth/] [rxaui_0_support_clocking.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 DFC
-------------------------------------------------------------------------------
2
-- Title      : Example Design Clocking
3
-- Project    : RXAUI
4
-------------------------------------------------------------------------------
5
-- File       : rxaui_0_support_clocking.vhd
6
-------------------------------------------------------------------------------
7
-- Description: This file constains the clocking used by the example design
8
-------------------------------------------------------------------------------
9
-- (c) Copyright 2009 - 2013 Xilinx, Inc. All rights reserved. 
10
--
11
-- This file contains confidential and proprietary information
12
-- of Xilinx, Inc. and is protected under U.S. and
13
-- international copyright and other intellectual property
14
-- laws.
15
--
16
-- DISCLAIMER
17
-- This disclaimer is not a license and does not grant any
18
-- rights to the materials distributed herewith. Except as
19
-- otherwise provided in a valid license issued to you by
20
-- Xilinx, and to the maximum extent permitted by applicable
21
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
22
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
23
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
24
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
25
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
26
-- (2) Xilinx shall not be liable (whether in contract or tort,
27
-- including negligence, or under any other theory of
28
-- liability) for any loss or damage of any kind or nature
29
-- related to, arising under or in connection with these
30
-- materials, including for any direct, or any indirect,
31
-- special, incidental, or consequential loss or damage
32
-- (including loss of data, profits, goodwill, or any type of
33
-- loss or damage suffered as a result of any action brought
34
-- by a third party) even if such damage or loss was
35
-- reasonably foreseeable or Xilinx had been advised of the
36
-- possibility of the same.
37
--
38
-- CRITICAL APPLICATIONS
39
-- Xilinx products are not designed or intended to be fail-
40
-- safe, or for use in any application requiring fail-safe
41
-- performance, such as life-support or safety devices or
42
-- systems, Class III medical devices, nuclear facilities,
43
-- applications related to the deployment of airbags, or any
44
-- other applications that could lead to death, personal
45
-- injury, or severe property or environmental damage
46
-- (individually and collectively, "Critical
47
-- Applications"). Customer assumes the sole risk and
48
-- liability of any use of Xilinx products in Critical
49
-- Applications, subject only to applicable laws and
50
-- regulations governing limitations on product liability.
51
--
52
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
53
-- PART OF THIS FILE AT ALL TIMES.
54
-------------------------------------------------------------------------------
55
 
56
library ieee;
57
use ieee.std_logic_1164.all;
58
 
59
library unisim;
60
use unisim.vcomponents.all;
61
 
62
entity rxaui_0_support_clocking is
63
    port (
64
      refclk_p         : in  std_logic;
65
      refclk_n         : in  std_logic;
66
      refclk           : out std_logic
67
      );
68
end rxaui_0_support_clocking;
69
 
70
architecture rtl of rxaui_0_support_clocking is
71
 
72
  signal refclk_p_ibuf : std_logic;
73
  signal refclk_n_ibuf : std_logic;
74
 
75
begin
76
 
77
  refclk_p_ibuf_inst : IBUF
78
  generic map (
79
    IBUF_LOW_PWR => FALSE,              -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
80
    IOSTANDARD   => "DEFAULT")         -- Specify the input I/O standard
81
  port map (
82
    O            => refclk_p_ibuf,     -- Buffer output
83
    I            => refclk_p           -- Buffer input (connect directly to top-level port)
84
  );
85
 
86
  refclk_n_ibuf_inst : IBUF
87
  generic map (
88
    IBUF_LOW_PWR => FALSE,              -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
89
    IOSTANDARD   => "DEFAULT")         -- Specify the input I/O standard
90
  port map (
91
    O            => refclk_n_ibuf,     -- Buffer output
92
    I            => refclk_n           -- Buffer input (connect directly to top-level port)
93
  );
94
 
95
  -- Differential Clock Module
96
  refclk_ibufds : IBUFDS_GTE2
97
  port map (
98
    I            => refclk_p_ibuf,
99
    IB           => refclk_n_ibuf,
100
    O            => refclk,
101
    CEB          => '0',
102
    ODIV2        => open );
103
 
104
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.