OpenCores
URL https://opencores.org/ocsvn/xge_ll_mac/xge_ll_mac/trunk

Subversion Repositories xge_ll_mac

[/] [xge_ll_mac/] [trunk/] [rtl/] [oc_mac.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 cleberCAG
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  This file is part of the "10GE LL MAC" project              ////
4
////  http://www.opencores.org/cores/xge_ll_mac/                  ////
5
////                                                              ////
6
////  This project is derived from the "10GE MAC" project of      ////
7
////  A. Tanguay (antanguay@opencores.org) by Andreas Peters      ////
8
////  for his Diploma Thesis at the University of Heidelberg.     ////
9
////  The Thesis was supervised by Christian Leber                ////
10
////                                                              ////
11
////  Author(s):                                                  ////
12
////      - Andreas Peters                                        ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2008-2012 AUTHORS. All rights reserved.        ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
 
41
 
42
//========================= Defines for oc_mac ========================
43
 
44
// Ethernet codes
45
 
46
`define IDLE       8'h07
47
`define PREAMBLE   8'h55
48
`define SEQUENCE   8'h9c
49
`define SFD        8'hd5
50
`define START      8'hfb
51
`define TERMINATE  8'hfd
52
`define ERROR      8'hfe
53
 
54
 
55
 
56
`define LINK_FAULT_OK      2'd0
57
`define LINK_FAULT_LOCAL   2'd1
58
`define LINK_FAULT_REMOTE  2'd2
59
 
60
`define FAULT_SEQ_LOCAL  1'b0
61
`define FAULT_SEQ_REMOTE 1'b1
62
 
63
`define LOCAL_FAULT   8'd1
64
`define REMOTE_FAULT  8'd2
65
 
66
`define PAUSE_FRAME   48'h010000c28001
67
 
68
`define LANE0        7:0
69
`define LANE1       15:8
70
`define LANE2      23:16
71
`define LANE3      31:24
72
`define LANE4      39:32
73
`define LANE5      47:40
74
`define LANE6      55:48
75
`define LANE7      63:56
76
 
77
 
78
`define TXSTATUS_NONE       8'h10
79
`define TXSTATUS_START      8'd144
80
`define TXSTATUS_END        8'd80
81
`define TXSTATUS_EOP        3'd6
82
`define TXSTATUS_SOP        3'd7
83
`define TXSTATUS_VALID      3'd4
84
 
85
 
86
`define RXSTATUS_NONE       8'h0
87
`define RXSTATUS_ERR        3'd5
88
`define RXSTATUS_EOP        3'd6
89
`define RXSTATUS_SOP        3'd7
90
`define RXSTATUS_VALID      3'd4
91
 
92
//`define SIMULATION

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.